blob: e0051f2b57effa264a5fa854df6d167c845a72db [file] [log] [blame]
[abi_symbol_list]
activate_task
add_cpu
add_timer
add_timer_on
adjust_managed_page_count
alarm_cancel
alarm_init
alarm_start_relative
alloc_chrdev_region
alloc_netdev_mqs
__alloc_pages_nodemask
__alloc_percpu
__alloc_skb
alloc_workqueue
amba_driver_register
amba_driver_unregister
android_debug_symbol
android_rvh_probe_register
__arch_copy_from_user
__arch_copy_to_user
arm64_const_caps_ready
arm64_use_ng_mappings
__arm_smccc_hvc
__arm_smccc_smc
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
available_idle_cpu
backlight_device_register
backlight_device_unregister
bcmp
__bitmap_andnot
__bitmap_complement
__bitmap_or
bitmap_parse
bitmap_parselist
bitmap_to_arr32
__bitmap_weight
__bitmap_xor
blkdev_get_by_path
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_trace_run10
bpf_trace_run12
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_trace_run9
build_skb
bus_find_device
bus_for_each_dev
bus_register
bus_register_notifier
bus_set_iommu
bus_unregister
bus_unregister_notifier
call_rcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_del
cdev_device_add
cdev_device_del
cdev_init
__cfi_slowpath
cgroup_taskset_first
cgroup_taskset_next
__check_object_size
check_preempt_curr
__class_create
class_destroy
__class_register
class_unregister
clk_disable
clk_enable
clk_get
__clk_get_hw
__clk_get_name
clk_get_rate
clk_hw_get_name
clk_hw_get_parent
__clk_hw_register_divider
__clk_hw_register_gate
__clk_hw_register_mux
clk_hw_unregister_divider
clk_hw_unregister_gate
clk_hw_unregister_mux
clk_prepare
clk_put
clk_register
clk_register_clkdev
clk_register_fixed_factor
clk_register_fixed_rate
clk_register_gate
clk_set_parent
clk_set_rate
clk_unprepare
clockevents_config_and_register
__clocksource_register_scale
cma_alloc
cma_release
complete
complete_all
complete_and_exit
completion_done
config_ep_by_speed
config_group_init_type_name
console_stop
console_suspend_enabled
__const_udelay
contig_page_data
__cpu_active_mask
cpu_all_bits
cpu_bit_bitmap
cpufreq_add_update_util_hook
cpufreq_cpu_get
cpufreq_cpu_get_raw
cpufreq_cpu_put
cpufreq_driver_resolve_freq
__cpufreq_driver_target
cpufreq_freq_transition_begin
cpufreq_freq_transition_end
cpufreq_frequency_table_verify
cpufreq_generic_attr
cpufreq_get_policy
cpufreq_quick_get
cpufreq_quick_get_max
cpufreq_register_driver
cpufreq_register_governor
cpufreq_register_notifier
cpufreq_remove_update_util_hook
cpufreq_table_index_unsorted
cpufreq_this_cpu_can_update
cpufreq_unregister_notifier
__cpuhp_setup_state
__cpuhp_setup_state_cpuslocked
cpuhp_tasks_frozen
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpumask_next_and
cpu_number
__cpu_online_mask
cpu_pm_register_notifier
__cpu_possible_mask
cpu_scale
cpus_read_lock
cpus_read_unlock
cpu_subsys
cpu_topology
crypto_alloc_shash
crypto_destroy_tfm
crypto_shash_final
crypto_shash_update
_ctype
dapm_pinctrl_event
dapm_regulator_event
deactivate_task
debugfs_create_bool
debugfs_create_dir
debugfs_create_file
debugfs_create_symlink
debugfs_create_u32
debugfs_create_x32
debugfs_remove
default_llseek
delayed_work_timer_fn
del_timer
del_timer_sync
destroy_workqueue
_dev_crit
dev_driver_string
_dev_emerg
_dev_err
dev_err_probe
devfreq_add_device
devfreq_add_governor
devfreq_monitor_resume
devfreq_monitor_start
devfreq_monitor_stop
devfreq_monitor_suspend
devfreq_recommended_opp
devfreq_register_opp_notifier
devfreq_remove_device
devfreq_remove_governor
devfreq_resume_device
devfreq_suspend_device
devfreq_unregister_opp_notifier
devfreq_update_interval
dev_get_by_name
dev_get_regmap
device_create
device_create_bin_file
device_create_file
device_destroy
device_for_each_child
device_initialize
device_init_wakeup
device_link_add
device_link_del
device_property_present
device_property_read_u32_array
device_register
device_remove_file
device_set_wakeup_capable
device_set_wakeup_enable
device_show_bool
device_show_int
device_store_bool
device_store_int
device_unregister
device_wakeup_enable
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_clk_get
devm_clk_get_optional
devm_devfreq_register_notifier
devm_devfreq_unregister_notifier
__devm_drm_dev_alloc
devm_free_irq
devm_gen_pool_create
devm_gpiochip_add_data_with_key
devm_gpiod_get_optional
devm_gpio_request_one
devm_hwrng_register
devm_i2c_new_dummy_device
devm_iio_device_alloc
__devm_iio_device_register
devm_input_allocate_device
devm_ioremap
devm_ioremap_resource
devm_iounmap
__devm_irq_alloc_descs
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_kstrdup
devm_kstrdup_const
devm_led_classdev_register_ext
devm_mfd_add_devices
__devm_of_phy_provider_register
devm_phy_create
devm_phy_get
devm_pinctrl_get
devm_pinctrl_register
devm_platform_get_and_ioremap_resource
devm_platform_ioremap_resource
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_register
__devm_request_region
devm_request_threaded_irq
__devm_reset_control_get
devm_rtc_device_register
devm_snd_dmaengine_pcm_register
devm_snd_soc_register_card
devm_snd_soc_register_component
devm_thermal_zone_of_sensor_register
devm_usb_get_phy_by_phandle
_dev_notice
dev_pm_opp_add
dev_pm_opp_disable
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_exact
dev_pm_opp_get_freq
dev_pm_opp_get_opp_count
dev_pm_opp_get_voltage
dev_pm_opp_of_register_em
dev_pm_opp_put
dev_pm_qos_add_request
dev_pm_qos_read_value
dev_pm_qos_remove_request
dev_pm_qos_update_request
dev_queue_xmit
devres_add
devres_alloc_node
devres_free
devres_release
dev_set_name
_dev_warn
disable_irq
disable_irq_nosync
disable_percpu_irq
dma_alloc_attrs
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_begin_cpu_access_partial
dma_buf_detach
dma_buf_dynamic_attach
dma_buf_end_cpu_access
dma_buf_end_cpu_access_partial
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_map_attachment
dma_buf_mmap
dma_buf_move_notify
dma_buf_pin
dma_buf_put
dma_buf_unmap_attachment
dma_buf_unpin
dma_buf_vmap
dma_buf_vunmap
dmaengine_unmap_put
dma_fence_add_callback
dma_fence_array_create
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_get_stub
dma_fence_init
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_wait_any_timeout
dma_fence_wait_timeout
dma_free_attrs
dma_get_required_mask
dma_get_slave_caps
dma_get_slave_channel
dma_heap_add
dma_heap_buffer_alloc
dma_heap_buffer_free
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dma_heap_get_name
dma_heap_put
dmam_alloc_attrs
dma_map_page_attrs
dma_map_resource
dma_map_sg_attrs
dma_mmap_attrs
dma_pool_alloc
dma_pool_free
dma_release_channel
dma_request_chan
dma_resv_add_excl_fence
dma_resv_add_shared_fence
dma_resv_get_fences_rcu
dma_resv_reserve_shared
dma_resv_test_signaled_rcu
dma_resv_wait_timeout_rcu
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_resource
dma_unmap_sg_attrs
do_SAK
do_wait_intr
down
down_read
down_read_killable
down_read_trylock
down_write
d_path
drain_workqueue
driver_unregister
drm_add_edid_modes
drm_compat_ioctl
drm_connector_attach_dp_subconnector_property
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_init_with_ddc
drm_connector_list_iter_begin
drm_connector_list_iter_end
drm_connector_list_iter_next
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_cleanup
drm_crtc_helper_set_config
drm_crtc_helper_set_mode
drm_crtc_init
drm_crtc_send_vblank_event
drm_crtc_vblank_count
drm_crtc_vblank_helper_get_vblank_timestamp
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_put
drm_cvt_mode
__drm_dbg
__drm_debug
drm_debugfs_create_files
drm_detect_hdmi_monitor
drm_dev_dbg
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_unregister
drm_dp_aux_init
drm_dp_aux_register
drm_dp_aux_unregister
drm_dp_bw_code_to_link_rate
drm_dp_channel_eq_ok
drm_dp_clock_recovery_ok
drm_dp_dpcd_read
drm_dp_dpcd_read_link_status
drm_dp_dpcd_write
drm_dp_get_adjust_request_pre_emphasis
drm_dp_get_adjust_request_voltage
drm_dp_link_rate_to_bw_code
drm_dp_link_train_channel_eq_delay
drm_dp_link_train_clock_recovery_delay
drm_dp_set_subconnector_property
drm_edid_header_is_valid
drm_edid_is_valid
drm_edid_to_sad
drm_edid_to_speaker_allocation
drm_encoder_cleanup
drm_encoder_init
__drm_err
drm_framebuffer_cleanup
drm_framebuffer_init
drm_framebuffer_unregister_private
drm_gem_dmabuf_mmap
drm_gem_dmabuf_release
drm_gem_dmabuf_vmap
drm_gem_dmabuf_vunmap
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_handle_create
drm_gem_object_free
drm_gem_object_lookup
drm_gem_object_release
drm_gem_prime_export
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_private_object_init
drm_get_edid
drm_get_format_name
drm_handle_vblank
drm_hdmi_avi_infoframe_from_display_mode
drm_helper_connector_dpms
drm_helper_disable_unused_functions
drm_helper_force_disable_all
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_helper_resume_force_mode
drm_ioctl
drm_irq_install
drm_irq_uninstall
drm_is_current_master
drm_kms_helper_is_poll_worker
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drm_mm_init
drm_mm_insert_node_in_range
drmm_mode_config_init
drm_mm_print
drm_mm_remove_node
drm_mm_takedown
drm_mode_config_cleanup
drm_mode_create_scaling_mode_property
drm_mode_crtc_set_gamma_size
drm_mode_duplicate
drm_mode_probed_add
drm_mode_set_crtcinfo
drm_modeset_lock
drm_modeset_lock_all
drm_mode_set_name
drm_modeset_unlock
drm_modeset_unlock_all
drm_mode_vrefresh
drm_need_swiotlb
drm_object_attach_property
drm_open
drm_poll
drm_prime_gem_destroy
drm_prime_pages_to_sg
drm_prime_sg_to_page_addr_arrays
drm_printf
__drm_printfn_seq_file
drm_property_create_enum
drm_property_create_range
__drm_puts_seq_file
drm_read
drm_release
drm_syncobj_add_point
drm_syncobj_create
drm_syncobj_find
drm_syncobj_find_fence
drm_syncobj_free
drm_syncobj_get_fd
drm_syncobj_get_handle
drm_syncobj_replace_fence
drm_vblank_init
drm_vma_node_allow
drm_vma_node_is_allowed
drm_vma_node_revoke
dump_backtrace
dump_stack
dw_handle_msi_irq
dw_pcie_host_init
dw_pcie_msi_init
dw_pcie_own_conf_map_bus
dw_pcie_read
dw_pcie_setup_rc
dw_pcie_write
emergency_restart
enable_irq
enable_percpu_irq
event_triggers_call
__fdget
fd_install
find_last_bit
find_next_bit
find_next_zero_bit
find_vma
find_vpid
finish_wait
firmware_request_nowarn
flush_dcache_page
flush_delayed_work
flush_work
flush_workqueue
fput
frame_vector_to_pages
free_irq
free_netdev
__free_pages
free_pages
free_percpu
free_percpu_irq
freezing_slow_path
freq_qos_add_request
freq_qos_remove_request
freq_qos_update_request
freq_scale
gcd
generic_file_llseek
generic_handle_irq
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_free_owner
get_cpu_device
get_cpu_idle_time
get_device
__get_free_pages
get_random_u32
__get_task_comm
get_task_exe_file
get_task_mm
get_thermal_instance
get_unused_fd_flags
get_zeroed_page
gic_nonsecure_priorities
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_lock_as_irq
gpiochip_unlock_as_irq
gpiod_direction_input
gpiod_direction_output_raw
gpiod_get_raw_value
gpiod_set_raw_value
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_request
gpio_request_one
gpio_to_desc
gserial_alloc_line
gserial_connect
gserial_disconnect
handle_edge_irq
handle_level_irq
handle_nested_irq
handle_simple_irq
handle_sysrq
hdmi_avi_infoframe_pack
hex_dump_to_buffer
hrtimer_active
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
i2c_adapter_type
i2c_add_adapter
i2c_add_numbered_adapter
i2c_bit_add_bus
i2c_bus_type
i2c_del_adapter
i2c_del_driver
i2c_for_each_dev
i2c_get_adapter
i2c_new_client_device
i2c_new_dummy_device
i2c_put_adapter
i2c_register_driver
i2c_smbus_read_byte_data
i2c_smbus_read_i2c_block_data
i2c_smbus_read_word_data
i2c_smbus_write_byte_data
i2c_smbus_write_i2c_block_data
i2c_smbus_write_word_data
i2c_smbus_xfer
i2c_transfer
i2c_transfer_buffer_flags
i2c_unregister_device
i2c_verify_client
ida_alloc_range
ida_destroy
ida_free
idr_alloc
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_remove
idr_replace
init_dummy_netdev
init_net
__init_rwsem
__init_swait_queue_head
init_task
init_timer_key
init_wait_entry
__init_waitqueue_head
input_allocate_device
input_close_device
input_event
input_free_device
input_mt_destroy_slots
input_mt_init_slots
input_mt_report_slot_state
input_open_device
input_register_device
input_register_handle
input_register_handler
input_set_abs_params
input_set_capability
input_unregister_device
input_unregister_handle
iomem_resource
iommu_alloc_resv_region
iommu_attach_group
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unlink
iommu_device_unregister
iommu_dma_reserve_iova
iommu_domain_alloc
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_get_domain_for_dev
iommu_group_alloc
iommu_group_for_each_dev
iommu_group_get
iommu_group_get_iommudata
iommu_group_set_iommudata
iommu_group_set_name
iommu_iova_to_phys
iommu_map
iommu_map_sg
iommu_put_dma_cookie
iommu_register_device_fault_handler
iommu_report_device_fault
iommu_unmap
iommu_unregister_device_fault_handler
__ioremap
iounmap
__irq_alloc_descs
irq_create_mapping_affinity
__irq_domain_add
irq_domain_get_irq_data
irq_domain_remove
irq_domain_set_info
irq_domain_xlate_onetwocell
irq_domain_xlate_twocell
irq_find_mapping
irq_get_irq_data
irq_modify_status
irq_of_parse_and_map
irq_set_affinity_hint
irq_set_chained_handler_and_data
irq_set_chip
irq_set_chip_and_handler_name
irq_set_chip_data
__irq_set_handler
irq_set_handler_data
irq_set_irq_wake
irq_to_desc
irq_work_queue
irq_work_sync
is_vmalloc_addr
jiffies_64_to_clock_t
jiffies64_to_msecs
jiffies
jiffies_to_msecs
jiffies_to_usecs
kasan_flag_enabled
kasprintf
kernel_kobj
kfree
kfree_const
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kobject_add
kobject_create_and_add
kobject_get
kobject_init
kobject_init_and_add
kobject_put
kobject_uevent
kobject_uevent_env
krealloc
kstat
kstrdup
kstrndup
kstrtobool_from_user
kstrtoint
kstrtoint_from_user
kstrtol_from_user
kstrtoll
kstrtou8
kstrtouint
kstrtouint_from_user
kstrtoull
kstrtoull_from_user
ksys_sync_helper
kthread_bind
kthread_cancel_delayed_work_sync
kthread_create_on_node
kthread_delayed_work_timer_fn
kthread_flush_work
__kthread_init_worker
kthread_mod_delayed_work
kthread_park
kthread_parkme
kthread_queue_work
kthread_should_park
kthread_should_stop
kthread_stop
kthread_unpark
kthread_worker_fn
ktime_get
ktime_get_mono_fast_ns
ktime_get_raw_ts64
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_ts64
ktime_get_with_offset
kvasprintf
kvfree
kvmalloc_node
__list_add_valid
__list_del_entry_valid
__log_post_read_mmio
__log_read_mmio
__log_write_mmio
loops_per_jiffy
memchr
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
memremap
memset
__memset_io
memstart_addr
memunmap
mfd_add_devices
mfd_remove_devices
misc_deregister
misc_register
mmput
mmu_interval_notifier_insert
mmu_interval_notifier_remove
mmu_interval_read_begin
mmu_notifier_synchronize
mod_delayed_work_on
mod_node_page_state
mod_timer
module_layout
module_put
__msecs_to_jiffies
msleep
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
__napi_alloc_skb
napi_complete_done
napi_gro_flush
napi_gro_receive
__napi_schedule
napi_schedule_prep
__netdev_alloc_skb
netif_napi_add
netif_receive_skb
netif_tx_wake_queue
no_llseek
noop_llseek
nr_cpu_ids
nr_irqs
nsecs_to_jiffies
ns_to_timespec64
__num_online_cpus
of_address_to_resource
of_alias_get_id
of_clk_add_hw_provider
of_clk_add_provider
of_clk_del_provider
of_clk_get_by_name
of_clk_hw_onecell_get
of_clk_src_onecell_get
of_count_phandle_with_args
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_dma_controller_free
of_dma_controller_register
of_find_compatible_node
of_find_device_by_node
of_find_matching_node_and_match
of_find_node_by_name
of_find_node_by_type
of_find_node_opts_by_path
of_find_property
of_fwnode_ops
of_genpd_add_provider_simple
of_get_child_by_name
of_get_cpu_node
of_get_dma_window
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_property
of_get_regulator_init_data
of_iomap
of_irq_get_byname
of_irq_parse_one
of_machine_is_compatible
of_match_device
of_match_node
of_n_addr_cells
of_node_name_eq
of_n_size_cells
of_parse_phandle
of_parse_phandle_with_fixed_args
of_phandle_iterator_init
of_phandle_iterator_next
of_platform_populate
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_u64
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_prop_next_string
of_prop_next_u32
of_pwm_xlate_with_flags
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
of_root
of_thermal_get_ntrips
of_usb_host_tpl_support
orderly_poweroff
panic
panic_notifier_list
param_ops_bool
param_ops_charp
param_ops_hexint
param_ops_int
param_ops_long
param_ops_string
param_ops_uint
param_ops_ulong
pci_alloc_irq_vectors_affinity
pci_assign_unassigned_bus_resources
pci_bus_resource_n
pci_bus_type
pci_clear_master
pcie_bandwidth_available
pcie_get_mps
pcie_get_speed_cap
pci_enable_atomic_ops_to_root
pci_find_bus
pci_find_ext_capability
pci_free_irq_vectors
pci_generic_config_read
pci_generic_config_write
pci_get_device
pci_get_domain_bus_and_slot
pci_iomap
pci_irq_vector
pci_load_saved_state
pci_map_rom
pci_msix_vec_count
pci_read_config_dword
pci_read_config_word
pci_release_resource
pci_rescan_bus
pci_resize_resource
pci_restore_state
pci_save_state
pci_set_master
pci_store_saved_state
pci_unmap_rom
pci_write_config_dword
pci_write_config_word
PDE_DATA
__per_cpu_offset
perf_event_update_userpage
perf_pmu_register
perf_pmu_unregister
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_valid
pid_task
pinctrl_add_gpio_range
pinctrl_dev_get_drvdata
pinctrl_force_sleep
pinctrl_get
pinctrl_lookup_state
pinctrl_put
pinctrl_remove_gpio_range
pinctrl_select_state
pin_get_name
pin_user_pages
platform_bus_type
platform_device_add
platform_device_add_properties
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_probe
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_irq_byname
platform_get_resource
platform_get_resource_byname
__platform_register_drivers
pm_genpd_add_subdomain
pm_genpd_init
pm_power_off
__pm_relax
pm_relax
pm_runtime_allow
pm_runtime_autosuspend_expiration
pm_runtime_barrier
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
pm_runtime_get_if_active
__pm_runtime_idle
pm_runtime_irq_safe
pm_runtime_no_callbacks
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
pm_stay_awake
pm_wakeup_dev_event
pm_wakeup_ws_event
power_supply_changed
power_supply_get_by_name
power_supply_get_drvdata
power_supply_get_property
power_supply_is_system_supplied
power_supply_put
power_supply_register
power_supply_set_property
power_supply_unregister
preempt_schedule
preempt_schedule_notrace
prepare_to_wait_event
print_hex_dump
printk
__printk_ratelimit
printk_timed_ratelimit
proc_create
proc_create_data
proc_mkdir
proc_remove
proc_set_size
proc_symlink
put_device
__put_page
__put_task_struct
put_unused_fd
pwmchip_add
pwmchip_remove
pwm_get_chip_data
pwm_set_chip_data
queue_delayed_work_on
queue_work_on
radix_tree_tagged
___ratelimit
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
_raw_read_lock
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock_irqsave
_raw_write_unlock_irqrestore
__rb_erase_color
rb_first_postorder
__rb_insert_augmented
rb_insert_color
rb_next
rb_next_postorder
rcu_barrier
__rcu_read_lock
__rcu_read_unlock
rdev_get_drvdata
rdev_get_id
reciprocal_value
refcount_warn_saturate
refresh_frequency_limits
__refrigerator
regcache_cache_only
regcache_drop_region
regcache_mark_dirty
regcache_sync
__register_chrdev
register_chrdev_region
register_console
register_die_notifier
register_netdev
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
regmap_async_complete
regmap_bulk_read
regmap_multi_reg_write
regmap_multi_reg_write_bypassed
regmap_raw_read
regmap_raw_write
regmap_raw_write_async
regmap_read
regmap_register_patch
regmap_update_bits_base
regmap_write
regulator_bulk_disable
regulator_bulk_enable
regulator_disable
regulator_enable
regulator_get
regulator_is_enabled
regulator_list_voltage_linear
regulator_map_voltage_linear
regulator_put
regulator_set_voltage
release_firmware
release_pages
__release_region
remap_pfn_range
remove_cpu
request_firmware
request_firmware_direct
request_firmware_into_buf
request_firmware_nowait
__request_percpu_irq
__request_region
request_threaded_irq
reset_control_assert
reset_control_deassert
return_address
rtc_class_close
rtc_class_open
rtc_read_time
rtc_time64_to_tm
rtc_update_irq
rtc_valid_tm
runqueues
sched_clock
sched_set_fifo_low
sched_set_normal
sched_setscheduler_nocheck
schedule
schedule_timeout
scnprintf
scsi_block_when_processing_errors
__scsi_execute
scsi_print_sense_hdr
sdev_prefix_printk
seq_lseek
seq_printf
seq_puts
seq_read
seq_write
set_cpus_allowed_ptr
set_normalized_timespec64
set_page_dirty_lock
set_task_cpu
sg_alloc_table
sg_alloc_table_from_pages
sg_free_table
sg_init_table
sg_miter_next
sg_miter_start
sg_miter_stop
sg_nents_for_len
sg_next
__sg_page_iter_next
__sg_page_iter_start
si_meminfo
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_open
simple_read_from_buffer
simple_strtoul
simple_write_to_buffer
single_open
single_open_size
single_release
skb_clone
skb_copy_expand
skb_dequeue
skb_dequeue_tail
skb_pull
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_trim
smp_call_function
smp_call_function_many
smp_call_function_single
smp_call_on_cpu
snd_compr_stop_error
snd_ctl_add
snd_ctl_boolean_mono_info
snd_ctl_new1
snd_ctl_notify
snd_device_free
snd_dma_alloc_pages
snd_dmaengine_pcm_prepare_slave_config
snd_dma_free_pages
snd_hwdep_new
snd_pcm_format_physical_width
snd_pcm_format_width
snd_pcm_hw_constraint_list
snd_pcm_lib_free_pages
snd_pcm_lib_ioctl
snd_pcm_lib_malloc_pages
snd_pcm_lib_preallocate_free_for_all
snd_pcm_lib_preallocate_pages
snd_pcm_period_elapsed
snd_pcm_rate_range_to_bits
snd_soc_add_component_controls
snd_soc_bytes_info_ext
snd_soc_bytes_tlv_callback
snd_soc_card_get_kcontrol
snd_soc_component_async_complete
snd_soc_component_disable_pin
snd_soc_component_force_enable_pin
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_set_pll
snd_soc_component_set_sysclk
snd_soc_component_update_bits
snd_soc_component_update_bits_async
snd_soc_component_write
snd_soc_dai_set_bclk_ratio
snd_soc_dai_set_channel_map
snd_soc_dai_set_sysclk
snd_soc_dai_set_tdm_slot
snd_soc_dapm_add_routes
snd_soc_dapm_disable_pin
snd_soc_dapm_enable_pin
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_pin_status
snd_soc_dapm_get_pin_switch
snd_soc_dapm_get_volsw
snd_soc_dapm_ignore_suspend
snd_soc_dapm_info_pin_switch
snd_soc_dapm_kcontrol_dapm
snd_soc_dapm_new_control
snd_soc_dapm_new_controls
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_pin_switch
snd_soc_dapm_put_volsw
snd_soc_dapm_sync
snd_soc_dapm_weak_routes
snd_soc_find_dai
snd_soc_get_enum_double
snd_soc_get_pcm_runtime
snd_soc_get_volsw
snd_soc_get_volsw_range
snd_soc_get_xr_sx
snd_soc_info_enum_double
snd_soc_info_volsw
snd_soc_info_volsw_range
snd_soc_info_volsw_sx
snd_soc_info_xr_sx
snd_soc_new_compress
snd_soc_of_get_dai_link_codecs
snd_soc_of_get_dai_name
snd_soc_of_parse_audio_routing
snd_soc_of_parse_daifmt
snd_soc_of_put_dai_link_codecs
snd_soc_params_to_bclk
snd_soc_pm_ops
snd_soc_put_enum_double
snd_soc_put_volsw
snd_soc_put_volsw_range
snd_soc_put_xr_sx
snd_soc_register_card
snd_soc_register_component
snd_soc_set_runtime_hwparams
snd_soc_unregister_card
snd_soc_unregister_component
snd_vendor_set_ops
snprintf
soc_device_register
sort
__spi_alloc_controller
spi_controller_resume
spi_controller_suspend
spi_finalize_current_message
spi_register_controller
__spi_register_driver
spi_setup
spi_sync
spi_unregister_controller
split_page
sprintf
sprint_symbol
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
sscanf
__stack_chk_fail
__stack_chk_guard
stop_one_cpu_nowait
strcasecmp
strcat
strchr
strcmp
strcpy
stream_open
strim
strlcat
strlcpy
strlen
strncasecmp
strncmp
strncpy
strnlen
strnstr
strpbrk
strsep
strstr
subsys_system_register
__sw_hweight32
__sw_hweight64
swiotlb_nr_tbl
sync_file_create
sync_file_get_fence
synchronize_rcu
syscon_regmap_lookup_by_phandle
sysfs_add_file_to_group
sysfs_create_bin_file
sysfs_create_file_ns
sysfs_create_files
sysfs_create_group
sysfs_create_groups
sysfs_create_link
sysfs_notify
sysfs_remove_bin_file
sysfs_remove_file_from_group
sysfs_remove_file_ns
sysfs_remove_files
sysfs_remove_group
sysfs_remove_link
sysrq_mask
system_freezable_wq
system_freezing_cnt
system_highpri_wq
system_state
system_unbound_wq
system_wq
sys_tz
__tasklet_hi_schedule
tasklet_init
tasklet_kill
__tasklet_schedule
task_rq_lock
thermal_cooling_device_unregister
thermal_of_cooling_device_register
thermal_zone_device_disable
thermal_zone_device_enable
thermal_zone_device_is_enabled
thermal_zone_device_update
thermal_zone_get_temp
thermal_zone_get_zone_by_name
thermal_zone_of_sensor_register
thermal_zone_of_sensor_unregister
time64_to_tm
topology_set_thermal_pressure
_totalram_pages
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_android_rvh_can_migrate_task
__traceiter_android_rvh_cpu_cgroup_can_attach
__traceiter_android_rvh_dequeue_task
__traceiter_android_rvh_enqueue_task
__traceiter_android_rvh_find_lowest_rq
__traceiter_android_rvh_sched_newidle_balance
__traceiter_android_rvh_sched_nohz_balancer_kick
__traceiter_android_rvh_sched_rebalance_domains
__traceiter_android_rvh_select_fallback_rq
__traceiter_android_rvh_select_task_rq_fair
__traceiter_android_rvh_select_task_rq_rt
__traceiter_android_vh_cpu_idle_enter
__traceiter_android_vh_cpu_idle_exit
__traceiter_android_vh_gic_v3_set_affinity
__traceiter_android_vh_ipi_stop
__traceiter_android_vh_scheduler_tick
__traceiter_cpu_idle
__traceiter_device_pm_callback_end
__traceiter_device_pm_callback_start
__traceiter_hrtimer_expire_entry
__traceiter_hrtimer_expire_exit
__traceiter_ipi_entry
__traceiter_ipi_exit
__traceiter_ipi_raise
__traceiter_irq_handler_entry
__traceiter_irq_handler_exit
__traceiter_pelt_cfs_tp
__traceiter_pelt_dl_tp
__traceiter_pelt_irq_tp
__traceiter_pelt_rt_tp
__traceiter_pelt_se_tp
__traceiter_rwmmio_post_read
__traceiter_rwmmio_read
__traceiter_rwmmio_write
__traceiter_sched_overutilized_tp
__traceiter_sched_switch
__traceiter_suspend_resume
__traceiter_workqueue_execute_end
__traceiter_workqueue_execute_start
__tracepoint_android_rvh_can_migrate_task
__tracepoint_android_rvh_cpu_cgroup_can_attach
__tracepoint_android_rvh_dequeue_task
__tracepoint_android_rvh_enqueue_task
__tracepoint_android_rvh_find_lowest_rq
__tracepoint_android_rvh_sched_newidle_balance
__tracepoint_android_rvh_sched_nohz_balancer_kick
__tracepoint_android_rvh_sched_rebalance_domains
__tracepoint_android_rvh_select_fallback_rq
__tracepoint_android_rvh_select_task_rq_fair
__tracepoint_android_rvh_select_task_rq_rt
__tracepoint_android_vh_cpu_idle_enter
__tracepoint_android_vh_cpu_idle_exit
__tracepoint_android_vh_gic_v3_set_affinity
__tracepoint_android_vh_ipi_stop
__tracepoint_android_vh_scheduler_tick
__tracepoint_cpu_idle
__tracepoint_device_pm_callback_end
__tracepoint_device_pm_callback_start
__tracepoint_hrtimer_expire_entry
__tracepoint_hrtimer_expire_exit
__tracepoint_ipi_entry
__tracepoint_ipi_exit
__tracepoint_ipi_raise
__tracepoint_irq_handler_entry
__tracepoint_irq_handler_exit
__tracepoint_pelt_cfs_tp
__tracepoint_pelt_dl_tp
__tracepoint_pelt_irq_tp
__tracepoint_pelt_rt_tp
__tracepoint_pelt_se_tp
tracepoint_probe_register
tracepoint_probe_unregister
__tracepoint_rwmmio_post_read
__tracepoint_rwmmio_read
__tracepoint_rwmmio_write
__tracepoint_sched_overutilized_tp
__tracepoint_sched_switch
__tracepoint_suspend_resume
__tracepoint_workqueue_execute_end
__tracepoint_workqueue_execute_start
trace_print_array_seq
trace_raw_output_prep
trace_seq_printf
try_module_get
ttm_bo_bulk_move_lru_tail
ttm_bo_device_init
ttm_bo_device_release
ttm_bo_dma_acc_size
ttm_bo_eviction_valuable
ttm_bo_evict_mm
ttm_bo_glob
ttm_bo_init_reserved
ttm_bo_kmap
ttm_bo_kunmap
ttm_bo_lock_delayed_workqueue
ttm_bo_mem_space
ttm_bo_mmap
ttm_bo_mmap_obj
ttm_bo_move_accel_cleanup
ttm_bo_move_memcpy
ttm_bo_move_to_lru_tail
ttm_bo_move_ttm
ttm_bo_put
ttm_bo_unlock_delayed_workqueue
ttm_bo_validate
ttm_dma_page_alloc_debugfs
ttm_dma_populate
ttm_dma_tt_fini
ttm_dma_unpopulate
ttm_eu_backoff_reservation
ttm_eu_fence_buffer_objects
ttm_eu_reserve_buffers
ttm_page_alloc_debugfs
ttm_populate_and_map_pages
ttm_range_man_fini
ttm_range_man_init
ttm_resource_free
ttm_resource_manager_force_list_clean
ttm_resource_manager_init
ttm_sg_tt_init
ttm_tt_destroy_common
ttm_tt_populate
ttm_tt_set_placement_caching
ttm_unmap_and_unpopulate_pages
tty_flip_buffer_push
tty_insert_flip_string_fixed_flag
tty_kref_put
tty_port_tty_get
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_pwr_opmode
typec_set_pwr_role
typec_unregister_partner
typec_unregister_port
uart_add_one_port
uart_console_write
uart_get_baud_rate
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__udelay
ufshcd_auto_hibern8_update
ufshcd_pltfrm_init
ufshcd_remove
ufshcd_shutdown
ufshcd_system_resume
ufshcd_system_suspend
__unregister_chrdev
unregister_chrdev_region
unregister_netdev
unregister_pm_notifier
unregister_reboot_notifier
up
update_devfreq
up_read
up_write
usb_add_function
usb_add_hcd
usb_choose_configuration
usb_copy_descriptors
__usb_create_hcd
usb_disabled
usb_enable_autosuspend
usb_ep_autoconfig
usb_function_register
usb_function_unregister
usb_gadget_set_state
usb_hcd_is_primary_hcd
usb_hcd_platform_shutdown
usb_hub_find_child
usb_interface_id
usb_otg_state_string
usb_put_function_instance
usb_put_hcd
usb_register_notify
usb_remove_hcd
usb_speed_string
usb_string_id
usb_unregister_notify
__usecs_to_jiffies
usleep_range
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
v4l2_ctrl_log_status
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_new_std_menu
v4l2_device_register
v4l2_device_unregister
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_m2m_buf_queue
v4l2_m2m_buf_remove
v4l2_m2m_ctx_init
v4l2_m2m_ctx_release
v4l2_m2m_dqbuf
v4l2_m2m_fop_mmap
v4l2_m2m_fop_poll
v4l2_m2m_get_curr_priv
v4l2_m2m_get_vq
v4l2_m2m_init
v4l2_m2m_ioctl_dqbuf
v4l2_m2m_ioctl_querybuf
v4l2_m2m_ioctl_reqbufs
v4l2_m2m_ioctl_streamoff
v4l2_m2m_ioctl_streamon
v4l2_m2m_job_finish
v4l2_m2m_mmap
v4l2_m2m_next_buf
v4l2_m2m_poll
v4l2_m2m_qbuf
v4l2_m2m_release
v4l2_m2m_reqbufs
v4l2_m2m_streamoff
v4l2_m2m_streamon
v4l2_m2m_try_schedule
v4l_bound_align_image
vabits_actual
vb2_buffer_done
vb2_common_vm_ops
vb2_create_framevec
vb2_destroy_framevec
vb2_dqbuf
vb2_mmap
vb2_ops_wait_finish
vb2_ops_wait_prepare
vb2_plane_cookie
vb2_plane_vaddr
vb2_poll
vb2_qbuf
vb2_querybuf
vb2_queue_init
vb2_queue_release
vb2_reqbufs
vb2_streamoff
vb2_streamon
vb2_wait_for_all_buffers
vfree
video_devdata
video_device_alloc
video_device_release
video_ioctl2
__video_register_device
video_unregister_device
vmalloc
vmalloc_to_page
vmap
vm_map_pages
vm_map_ram
vm_unmap_ram
vscnprintf
vsnprintf
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_timeout
__wake_up
__wake_up_locked
wake_up_process
wakeup_source_add
wakeup_source_register
wakeup_source_unregister
__warn_printk
watchdog_init_timeout
watchdog_register_device
watchdog_set_restart_priority
watchdog_unregister_device
work_busy
ww_mutex_lock
ww_mutex_lock_interruptible
ww_mutex_unlock
xhci_add_endpoint
xhci_address_device
xhci_check_trb_in_td_math
xhci_gen_setup
xhci_get_endpoint_index
xhci_get_ep_ctx
xhci_init_driver
xhci_initialize_ring_info
xhci_link_segments
xhci_resume
xhci_ring_alloc
xhci_run
xhci_segment_free
xhci_suspend
xhci_trb_virt_to_dma
xhci_vendor_get_ops