blob: a6d6c37a2f7adcc30b303efe487898fe34220041 [file] [log] [blame]
[abi_symbol_list]
PDE_DATA
__ClearPageMovable
__SetPageMovable
___pskb_trim
___ratelimit
__alloc_disk_node
__alloc_pages_nodemask
__alloc_percpu
__alloc_percpu_gfp
__alloc_skb
__arch_clear_user
__arch_copy_from_user
__arch_copy_in_user
__arch_copy_to_user
__arm_smccc_hvc
__arm_smccc_smc
__bitmap_andnot
__bitmap_clear
__bitmap_complement
__bitmap_or
__bitmap_set
__bitmap_weight
__bitmap_xor
__blk_mq_debugfs_rq_show
__blk_mq_end_request
__blk_rq_map_sg
__blkdev_issue_discard
__blkdev_issue_zeroout
__cfi_slowpath
__check_object_size
__class_create
__class_register
__clk_determine_rate
__clk_get_hw
__clk_get_name
__clk_hw_register_divider
__clk_hw_register_gate
__clk_hw_register_mux
__clk_is_enabled
__clk_mux_determine_rate_closest
__clocksource_register_scale
__close_fd
__const_udelay
__cpu_active_mask
__cpu_online_mask
__cpu_possible_mask
__cpu_present_mask
__cpufreq_driver_target
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_setup_state_cpuslocked
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
__dev_get_by_index
__dev_kfree_skb_any
__devm_alloc_percpu
__devm_drm_dev_alloc
__devm_iio_device_register
__devm_irq_alloc_descs
__devm_of_phy_provider_register
__devm_regmap_init
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
__devm_regmap_init_spi
__devm_release_region
__devm_request_region
__devm_reset_control_get
__devm_spi_alloc_controller
__dma_request_channel
__do_once_done
__do_once_start
__drm_atomic_helper_connector_destroy_state
__drm_atomic_helper_connector_duplicate_state
__drm_atomic_helper_connector_reset
__drm_atomic_helper_crtc_destroy_state
__drm_atomic_helper_crtc_duplicate_state
__drm_atomic_helper_crtc_reset
__drm_atomic_helper_plane_destroy_state
__drm_atomic_helper_plane_duplicate_state
__drm_atomic_helper_private_obj_duplicate_state
__drm_atomic_state_free
__drm_dbg
__drm_debug
__drm_err
__drm_printfn_coredump
__drm_printfn_info
__drm_printfn_seq_file
__drm_puts_coredump
__drm_puts_seq_file
__dynamic_dev_dbg
__dynamic_pr_debug
__ethtool_get_link_ksettings
__fdget
__flush_icache_range
__free_pages
__get_free_pages
__get_task_comm
__hrtimer_get_remaining
__hvc_resize
__hwspin_lock_timeout
__hwspin_unlock
__i2c_smbus_xfer
__i2c_transfer
__iio_device_register
__init_rwsem
__init_swait_queue_head
__init_waitqueue_head
__ioread32_copy
__ioremap
__iowrite32_copy
__ipv6_addr_type
__irq_alloc_descs
__irq_domain_add
__irq_set_handler
__kfifo_alloc
__kfifo_free
__kfifo_in
__kfifo_init
__kfifo_out
__kfifo_out_peek
__kfifo_to_user
__kfree_skb
__kmalloc
__kthread_init_worker
__list_add_valid
__list_del_entry_valid
__local_bh_enable_ip
__lock_page
__log_post_read_mmio
__log_read_mmio
__log_write_mmio
__mdiobus_register
__media_device_register
__memcat_p
__memcpy_fromio
__memcpy_toio
__memset_io
__mmc_claim_host
__mmc_send_status
__mmdrop
__module_get
__msecs_to_jiffies
__mutex_init
__napi_alloc_skb
__napi_schedule
__ndelay
__netdev_alloc_skb
__netif_napi_del
__netif_set_xps_queue
__netlink_kernel_create
__next_zones_zonelist
__nla_parse
__nla_validate
__nlmsg_put
__num_online_cpus
__of_reset_control_get
__pci_register_driver
__per_cpu_offset
__percpu_down_read
__percpu_init_rwsem
__platform_driver_probe
__platform_driver_register
__platform_register_drivers
__pm_relax
__pm_runtime_disable
__pm_runtime_idle
__pm_runtime_resume
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
__printk_ratelimit
__pskb_pull_tail
__put_page
__put_task_struct
__rb_erase_color
__rb_insert_augmented
__rcu_read_lock
__rcu_read_unlock
__refrigerator
__register_chrdev
__register_rpmsg_driver
__regmap_init
__release_region
__request_module
__request_percpu_irq
__request_region
__rht_bucket_nested
__rtc_register_device
__scsi_execute
__scsi_iterate_devices
__scsi_print_sense
__sdhci_add_host
__seq_open_private
__serio_register_driver
__serio_register_port
__sg_page_iter_dma_next
__sg_page_iter_next
__sg_page_iter_start
__skb_ext_put
__skb_flow_dissect
__skb_get_hash
__skb_gso_segment
__skb_pad
__spi_alloc_controller
__spi_register_driver
__splice_from_pipe
__spmi_driver_register
__srcu_read_lock
__srcu_read_unlock
__stack_chk_fail
__stack_chk_guard
__sw_hweight16
__sw_hweight32
__sw_hweight64
__sw_hweight8
__sysfs_match_string
__task_pid_nr_ns
__task_rq_lock
__tasklet_hi_schedule
__tasklet_schedule
__trace_bprintk
__trace_bputs
__trace_printk
__traceiter_android_rvh_account_irq
__traceiter_android_rvh_build_perf_domains
__traceiter_android_rvh_can_migrate_task
__traceiter_android_rvh_check_preempt_wakeup
__traceiter_android_rvh_cpu_cgroup_attach
__traceiter_android_rvh_cpu_cgroup_can_attach
__traceiter_android_rvh_cpu_cgroup_online
__traceiter_android_rvh_cpu_overutilized
__traceiter_android_rvh_cpufreq_transition
__traceiter_android_rvh_dequeue_task
__traceiter_android_rvh_die_kernel_fault
__traceiter_android_rvh_do_mem_abort
__traceiter_android_rvh_do_sp_pc_abort
__traceiter_android_rvh_enqueue_task
__traceiter_android_rvh_find_busiest_queue
__traceiter_android_rvh_find_energy_efficient_cpu
__traceiter_android_rvh_find_lowest_rq
__traceiter_android_rvh_flush_task
__traceiter_android_rvh_irqs_disable
__traceiter_android_rvh_irqs_enable
__traceiter_android_rvh_migrate_queued_task
__traceiter_android_rvh_new_task_stats
__traceiter_android_rvh_pick_next_entity
__traceiter_android_rvh_place_entity
__traceiter_android_rvh_preempt_disable
__traceiter_android_rvh_preempt_enable
__traceiter_android_rvh_replace_next_task_fair
__traceiter_android_rvh_report_bug
__traceiter_android_rvh_resume_cpus
__traceiter_android_rvh_sched_balance_rt
__traceiter_android_rvh_sched_cpu_dying
__traceiter_android_rvh_sched_cpu_starting
__traceiter_android_rvh_sched_exec
__traceiter_android_rvh_sched_fork
__traceiter_android_rvh_sched_fork_init
__traceiter_android_rvh_sched_newidle_balance
__traceiter_android_rvh_sched_nohz_balancer_kick
__traceiter_android_rvh_sched_rebalance_domains
__traceiter_android_rvh_schedule
__traceiter_android_rvh_schedule_bug
__traceiter_android_rvh_select_fallback_rq
__traceiter_android_rvh_select_task_rq_fair
__traceiter_android_rvh_select_task_rq_rt
__traceiter_android_rvh_set_gfp_zone_flags
__traceiter_android_rvh_set_iowait
__traceiter_android_rvh_set_readahead_gfp_mask
__traceiter_android_rvh_set_skip_swapcache_flags
__traceiter_android_rvh_set_task_cpu
__traceiter_android_rvh_tick_entry
__traceiter_android_rvh_try_to_wake_up
__traceiter_android_rvh_try_to_wake_up_success
__traceiter_android_rvh_ttwu_cond
__traceiter_android_rvh_typec_tcpci_chk_contaminant
__traceiter_android_rvh_typec_tcpci_get_vbus
__traceiter_android_rvh_uclamp_eff_get
__traceiter_android_rvh_update_cpu_capacity
__traceiter_android_rvh_update_cpus_allowed
__traceiter_android_rvh_update_misfit_status
__traceiter_android_rvh_util_est_update
__traceiter_android_rvh_wake_up_new_task
__traceiter_android_vh_allow_domain_state
__traceiter_android_vh_arch_set_freq_scale
__traceiter_android_vh_binder_restore_priority
__traceiter_android_vh_binder_set_priority
__traceiter_android_vh_binder_transaction_init
__traceiter_android_vh_binder_wakeup_ilocked
__traceiter_android_vh_cma_alloc_finish
__traceiter_android_vh_cma_alloc_start
__traceiter_android_vh_cpu_idle_enter
__traceiter_android_vh_cpu_idle_exit
__traceiter_android_vh_dump_throttled_rt_tasks
__traceiter_android_vh_enable_thermal_genl_check
__traceiter_android_vh_ep_create_wakeup_source
__traceiter_android_vh_freq_table_limits
__traceiter_android_vh_ftrace_dump_buffer
__traceiter_android_vh_ftrace_format_check
__traceiter_android_vh_ftrace_oops_enter
__traceiter_android_vh_ftrace_oops_exit
__traceiter_android_vh_ftrace_size_check
__traceiter_android_vh_iommu_setup_dma_ops
__traceiter_android_vh_ipi_stop
__traceiter_android_vh_is_fpsimd_save
__traceiter_android_vh_jiffies_update
__traceiter_android_vh_kfree_skb
__traceiter_android_vh_logbuf
__traceiter_android_vh_logbuf_pr_cont
__traceiter_android_vh_meminfo_proc_show
__traceiter_android_vh_pagecache_get_page
__traceiter_android_vh_printk_hotplug
__traceiter_android_vh_ptype_head
__traceiter_android_vh_rmqueue
__traceiter_android_vh_scheduler_tick
__traceiter_android_vh_show_max_freq
__traceiter_android_vh_show_mem
__traceiter_android_vh_show_resume_epoch_val
__traceiter_android_vh_show_suspend_epoch_val
__traceiter_android_vh_timer_calc_index
__traceiter_android_vh_timerfd_create
__traceiter_android_vh_typec_store_partner_src_caps
__traceiter_android_vh_typec_tcpci_override_toggling
__traceiter_android_vh_typec_tcpm_adj_current_limit
__traceiter_android_vh_typec_tcpm_get_timer
__traceiter_android_vh_ufs_check_int_errors
__traceiter_android_vh_ufs_compl_command
__traceiter_android_vh_ufs_fill_prdt
__traceiter_android_vh_ufs_prepare_command
__traceiter_android_vh_ufs_send_command
__traceiter_android_vh_ufs_send_tm_command
__traceiter_android_vh_ufs_send_uic_command
__traceiter_android_vh_ufs_update_sdev
__traceiter_android_vh_ufs_update_sysfs
__traceiter_clock_set_rate
__traceiter_cpu_frequency
__traceiter_dma_fence_emit
__traceiter_dwc3_readl
__traceiter_dwc3_writel
__traceiter_gpu_mem_total
__traceiter_sched_util_est_se_tp
__traceiter_xdp_exception
__tracepoint_android_rvh_account_irq
__tracepoint_android_rvh_build_perf_domains
__tracepoint_android_rvh_can_migrate_task
__tracepoint_android_rvh_check_preempt_wakeup
__tracepoint_android_rvh_cpu_cgroup_attach
__tracepoint_android_rvh_cpu_cgroup_can_attach
__tracepoint_android_rvh_cpu_cgroup_online
__tracepoint_android_rvh_cpu_overutilized
__tracepoint_android_rvh_cpufreq_transition
__tracepoint_android_rvh_dequeue_task
__tracepoint_android_rvh_die_kernel_fault
__tracepoint_android_rvh_do_mem_abort
__tracepoint_android_rvh_do_sp_pc_abort
__tracepoint_android_rvh_enqueue_task
__tracepoint_android_rvh_find_busiest_queue
__tracepoint_android_rvh_find_energy_efficient_cpu
__tracepoint_android_rvh_find_lowest_rq
__tracepoint_android_rvh_flush_task
__tracepoint_android_rvh_irqs_disable
__tracepoint_android_rvh_irqs_enable
__tracepoint_android_rvh_migrate_queued_task
__tracepoint_android_rvh_new_task_stats
__tracepoint_android_rvh_pick_next_entity
__tracepoint_android_rvh_place_entity
__tracepoint_android_rvh_preempt_disable
__tracepoint_android_rvh_preempt_enable
__tracepoint_android_rvh_replace_next_task_fair
__tracepoint_android_rvh_report_bug
__tracepoint_android_rvh_resume_cpus
__tracepoint_android_rvh_sched_balance_rt
__tracepoint_android_rvh_sched_cpu_dying
__tracepoint_android_rvh_sched_cpu_starting
__tracepoint_android_rvh_sched_exec
__tracepoint_android_rvh_sched_fork
__tracepoint_android_rvh_sched_fork_init
__tracepoint_android_rvh_sched_newidle_balance
__tracepoint_android_rvh_sched_nohz_balancer_kick
__tracepoint_android_rvh_sched_rebalance_domains
__tracepoint_android_rvh_schedule
__tracepoint_android_rvh_schedule_bug
__tracepoint_android_rvh_select_fallback_rq
__tracepoint_android_rvh_select_task_rq_fair
__tracepoint_android_rvh_select_task_rq_rt
__tracepoint_android_rvh_set_gfp_zone_flags
__tracepoint_android_rvh_set_iowait
__tracepoint_android_rvh_set_readahead_gfp_mask
__tracepoint_android_rvh_set_skip_swapcache_flags
__tracepoint_android_rvh_set_task_cpu
__tracepoint_android_rvh_tick_entry
__tracepoint_android_rvh_try_to_wake_up
__tracepoint_android_rvh_try_to_wake_up_success
__tracepoint_android_rvh_ttwu_cond
__tracepoint_android_rvh_typec_tcpci_chk_contaminant
__tracepoint_android_rvh_typec_tcpci_get_vbus
__tracepoint_android_rvh_uclamp_eff_get
__tracepoint_android_rvh_update_cpu_capacity
__tracepoint_android_rvh_update_cpus_allowed
__tracepoint_android_rvh_update_misfit_status
__tracepoint_android_rvh_util_est_update
__tracepoint_android_rvh_wake_up_new_task
__tracepoint_android_vh_allow_domain_state
__tracepoint_android_vh_arch_set_freq_scale
__tracepoint_android_vh_binder_restore_priority
__tracepoint_android_vh_binder_set_priority
__tracepoint_android_vh_binder_transaction_init
__tracepoint_android_vh_binder_wakeup_ilocked
__tracepoint_android_vh_cma_alloc_finish
__tracepoint_android_vh_cma_alloc_start
__tracepoint_android_vh_cpu_idle_enter
__tracepoint_android_vh_cpu_idle_exit
__tracepoint_android_vh_dump_throttled_rt_tasks
__tracepoint_android_vh_enable_thermal_genl_check
__tracepoint_android_vh_ep_create_wakeup_source
__tracepoint_android_vh_freq_table_limits
__tracepoint_android_vh_ftrace_dump_buffer
__tracepoint_android_vh_ftrace_format_check
__tracepoint_android_vh_ftrace_oops_enter
__tracepoint_android_vh_ftrace_oops_exit
__tracepoint_android_vh_ftrace_size_check
__tracepoint_android_vh_iommu_setup_dma_ops
__tracepoint_android_vh_ipi_stop
__tracepoint_android_vh_is_fpsimd_save
__tracepoint_android_vh_jiffies_update
__tracepoint_android_vh_kfree_skb
__tracepoint_android_vh_logbuf
__tracepoint_android_vh_logbuf_pr_cont
__tracepoint_android_vh_meminfo_proc_show
__tracepoint_android_vh_pagecache_get_page
__tracepoint_android_vh_printk_hotplug
__tracepoint_android_vh_ptype_head
__tracepoint_android_vh_rmqueue
__tracepoint_android_vh_scheduler_tick
__tracepoint_android_vh_show_max_freq
__tracepoint_android_vh_show_mem
__tracepoint_android_vh_show_resume_epoch_val
__tracepoint_android_vh_show_suspend_epoch_val
__tracepoint_android_vh_timer_calc_index
__tracepoint_android_vh_timerfd_create
__tracepoint_android_vh_typec_store_partner_src_caps
__tracepoint_android_vh_typec_tcpci_override_toggling
__tracepoint_android_vh_typec_tcpm_adj_current_limit
__tracepoint_android_vh_typec_tcpm_get_timer
__tracepoint_android_vh_ufs_check_int_errors
__tracepoint_android_vh_ufs_compl_command
__tracepoint_android_vh_ufs_fill_prdt
__tracepoint_android_vh_ufs_prepare_command
__tracepoint_android_vh_ufs_send_command
__tracepoint_android_vh_ufs_send_tm_command
__tracepoint_android_vh_ufs_send_uic_command
__tracepoint_android_vh_ufs_update_sdev
__tracepoint_android_vh_ufs_update_sysfs
__tracepoint_binder_transaction_received
__tracepoint_clock_set_rate
__tracepoint_cpu_frequency
__tracepoint_cpu_frequency_limits
__tracepoint_cpu_idle
__tracepoint_device_pm_callback_end
__tracepoint_device_pm_callback_start
__tracepoint_dma_fence_emit
__tracepoint_dwc3_ep_queue
__tracepoint_dwc3_readl
__tracepoint_dwc3_writel
__tracepoint_gpu_mem_total
__tracepoint_hrtimer_expire_entry
__tracepoint_hrtimer_expire_exit
__tracepoint_ipi_entry
__tracepoint_ipi_exit
__tracepoint_ipi_raise
__tracepoint_irq_handler_entry
__tracepoint_irq_handler_exit
__tracepoint_pelt_cfs_tp
__tracepoint_pelt_dl_tp
__tracepoint_pelt_irq_tp
__tracepoint_pelt_rt_tp
__tracepoint_pelt_se_tp
__tracepoint_rwmmio_post_read
__tracepoint_rwmmio_read
__tracepoint_rwmmio_write
__tracepoint_sched_cpu_capacity_tp
__tracepoint_sched_overutilized_tp
__tracepoint_sched_switch
__tracepoint_sched_util_est_cfs_tp
__tracepoint_sched_util_est_se_tp
__tracepoint_suspend_resume
__tracepoint_workqueue_execute_end
__tracepoint_workqueue_execute_start
__tracepoint_xdp_exception
__tty_insert_flip_char
__udelay
__uio_register_device
__unregister_chrdev
__update_load_avg_blocked_se
__usb_create_hcd
__usecs_to_jiffies
__v4l2_device_register_subdev_nodes
__video_register_device
__wait_rcu_gp
__wake_up
__wake_up_locked
__wake_up_locked_key
__wake_up_sync
__warn_printk
__xa_alloc
__xa_insert
__xfrm_state_destroy
_atomic_dec_and_lock
_copy_from_iter
_copy_from_iter_full
_copy_to_iter
_ctype
_dev_alert
_dev_crit
_dev_emerg
_dev_err
_dev_info
_dev_notice
_dev_warn
_raw_read_lock
_raw_read_lock_bh
_raw_read_lock_irq
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_bh
_raw_read_unlock_irq
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_trylock_bh
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_bh
_raw_write_lock_irq
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_bh
_raw_write_unlock_irq
_raw_write_unlock_irqrestore
_snd_ctl_add_follower
_snd_pcm_stream_lock_irqsave
_totalram_pages
access_process_vm
ack_all_badblocks
activate_task
add_cpu
add_device_randomness
add_memory
add_memory_subsection
add_taint
add_timer
add_timer_on
add_uevent_var
add_wait_queue
adjust_managed_page_count
alarm_cancel
alarm_init
alarm_start
alarm_start_relative
alarm_try_to_cancel
alarmtimer_get_rtcdev
all_vm_events
alloc_anon_inode
alloc_chrdev_region
alloc_etherdev_mqs
alloc_io_pgtable_ops
alloc_netdev_mqs
alloc_page_buffers
alloc_pages_exact
alloc_skb_with_frags
alloc_workqueue
amba_bustype
amba_driver_register
amba_driver_unregister
amba_release_regions
amba_request_regions
android_debug_per_cpu_symbol
android_debug_symbol
android_rvh_probe_register
anon_inode_getfd
anon_inode_getfile
arch_timer_read_counter
argv_free
argv_split
arm64_const_caps_ready
arm64_use_ng_mappings
async_schedule_node
async_schedule_node_domain
async_synchronize_full_domain
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
available_idle_cpu
backlight_device_get_by_type
backlight_device_register
backlight_device_set_brightness
backlight_device_unregister
badblocks_clear
badblocks_exit
badblocks_init
badblocks_set
badblocks_show
badblocks_store
balloon_aops
balloon_page_alloc
balloon_page_dequeue
balloon_page_enqueue
bcmp
bd_link_disk_holder
bd_set_nr_sectors
bd_unlink_disk_holder
bdev_check_media_change
bdev_read_only
bdevname
bdget_disk
bdput
bgpio_init
bin2hex
bio_add_page
bio_alloc_bioset
bio_associate_blkg
bio_chain
bio_clone_blkg_association
bio_endio
bio_init
bio_put
bioset_exit
bioset_init
bitmap_allocate_region
bitmap_find_next_zero_area_off
bitmap_from_arr32
bitmap_parse
bitmap_parselist
bitmap_parselist_user
bitmap_print_to_pagebuf
bitmap_release_region
bitmap_to_arr32
bitmap_zalloc
blk_alloc_queue
blk_cleanup_queue
blk_execute_rq
blk_execute_rq_nowait
blk_finish_plug
blk_freeze_queue_start
blk_get_queue
blk_get_request
blk_mq_alloc_request
blk_mq_alloc_request_hctx
blk_mq_alloc_tag_set
blk_mq_complete_request
blk_mq_complete_request_remote
blk_mq_debugfs_rq_show
blk_mq_delay_kick_requeue_list
blk_mq_end_request
blk_mq_free_request
blk_mq_free_tag_set
blk_mq_freeze_queue
blk_mq_freeze_queue_wait
blk_mq_freeze_queue_wait_timeout
blk_mq_init_queue
blk_mq_map_queues
blk_mq_pci_map_queues
blk_mq_quiesce_queue
blk_mq_requeue_request
blk_mq_rq_cpu
blk_mq_run_hw_queues
blk_mq_sched_request_inserted
blk_mq_sched_try_insert_merge
blk_mq_sched_try_merge
blk_mq_start_request
blk_mq_start_stopped_hw_queues
blk_mq_stop_hw_queue
blk_mq_tag_to_rq
blk_mq_tagset_busy_iter
blk_mq_tagset_wait_completed_request
blk_mq_unfreeze_queue
blk_mq_unique_tag
blk_mq_unquiesce_queue
blk_mq_update_nr_hw_queues
blk_mq_virtio_map_queues
blk_poll
blk_put_queue
blk_put_request
blk_queue_alignment_offset
blk_queue_bounce_limit
blk_queue_can_use_dma_map_merging
blk_queue_chunk_sectors
blk_queue_dma_alignment
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_flag_test_and_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_discard_segments
blk_queue_max_hw_sectors
blk_queue_max_segment_size
blk_queue_max_segments
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blk_queue_rq_timeout
blk_queue_split
blk_queue_virt_boundary
blk_queue_write_cache
blk_register_region
blk_rq_map_kern
blk_rq_map_user
blk_rq_map_user_iov
blk_rq_unmap_user
blk_set_queue_dying
blk_set_stacking_limits
blk_start_plug
blk_status_to_errno
blk_sync_queue
blk_unregister_region
blk_update_request
blk_verify_command
blkdev_fsync
blkdev_get_by_dev
blkdev_get_by_path
blkdev_issue_flush
blkdev_put
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bmap
bpf_dispatcher_xdp_func
bpf_prog_add
bpf_prog_put
bpf_prog_sub
bpf_stats_enabled_key
bpf_trace_run1
bpf_trace_run10
bpf_trace_run11
bpf_trace_run12
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_trace_run8
bpf_trace_run9
bpf_warn_invalid_xdp_action
bsearch
bt_err
bt_info
build_skb
bus_find_device
bus_for_each_dev
bus_for_each_drv
bus_register
bus_register_notifier
bus_set_iommu
bus_unregister
bus_unregister_notifier
cache_line_size
call_netdevice_notifiers
call_rcu
call_rcu_tasks
call_rcu_tasks_trace
call_srcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_alloc
cdev_del
cdev_device_add
cdev_device_del
cdev_init
cec_allocate_adapter
cec_delete_adapter
cec_received_msg_ts
cec_register_adapter
cec_s_log_addrs
cec_s_phys_addr
cec_s_phys_addr_from_edid
cec_transmit_attempt_done_ts
cec_transmit_done_ts
cec_unregister_adapter
cgroup_path_ns
cgroup_taskset_first
cgroup_taskset_next
check_preempt_curr
check_zeroed_user
class_create_file_ns
class_destroy
class_find_device
class_for_each_device
class_interface_unregister
class_remove_file_ns
class_unregister
cleancache_register_ops
cleanup_srcu_struct
clear_page
clk_bulk_disable
clk_bulk_enable
clk_bulk_get_all
clk_bulk_prepare
clk_bulk_put_all
clk_bulk_unprepare
clk_disable
clk_enable
clk_fixed_factor_ops
clk_fixed_rate_ops
clk_get
clk_get_parent
clk_get_rate
clk_hw_get_flags
clk_hw_get_name
clk_hw_get_num_parents
clk_hw_get_parent
clk_hw_get_parent_by_index
clk_hw_get_rate
clk_hw_is_enabled
clk_hw_is_prepared
clk_hw_register
clk_hw_register_fixed_factor
clk_hw_round_rate
clk_hw_set_rate_range
clk_hw_unregister
clk_hw_unregister_divider
clk_hw_unregister_fixed_factor
clk_hw_unregister_gate
clk_hw_unregister_mux
clk_notifier_register
clk_notifier_unregister
clk_prepare
clk_put
clk_register
clk_register_clkdev
clk_register_fixed_factor
clk_register_fixed_rate
clk_register_gate
clk_round_rate
clk_set_parent
clk_set_rate
clk_sync_state
clk_unprepare
clk_unregister
clockevents_config_and_register
clocks_calc_mult_shift
cma_alloc
cma_for_each_area
cma_get_name
cma_release
compat_alloc_user_space
compat_only_sysfs_link_entry_to_kobj
compat_ptr_ioctl
complete
complete_all
complete_and_exit
completion_done
component_add
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_match_add_release
component_unbind_all
cond_synchronize_rcu
config_ep_by_speed
config_group_init
config_group_init_type_name
config_item_get
config_item_put
configfs_register_subsystem
configfs_unregister_subsystem
console_drivers
console_printk
console_stop
console_suspend_enabled
console_trylock
console_unlock
consume_skb
contig_page_data
copy_from_kernel_nofault
copy_page
cpu_all_bits
cpu_bit_bitmap
cpu_hwcap_keys
cpu_hwcaps
cpu_irqtime
cpu_is_hotpluggable
cpu_latency_qos_add_request
cpu_latency_qos_remove_request
cpu_latency_qos_request_active
cpu_latency_qos_update_request
cpu_number
cpu_pm_register_notifier
cpu_pm_unregister_notifier
cpu_scale
cpu_subsys
cpu_topology
cpufreq_add_update_util_hook
cpufreq_cpu_get
cpufreq_cpu_get_raw
cpufreq_cpu_put
cpufreq_disable_fast_switch
cpufreq_driver_fast_switch
cpufreq_driver_resolve_freq
cpufreq_enable_boost_support
cpufreq_enable_fast_switch
cpufreq_freq_attr_scaling_available_freqs
cpufreq_freq_attr_scaling_boost_freqs
cpufreq_freq_transition_begin
cpufreq_freq_transition_end
cpufreq_frequency_table_verify
cpufreq_generic_attr
cpufreq_generic_frequency_table_verify
cpufreq_generic_get
cpufreq_generic_suspend
cpufreq_get_driver_data
cpufreq_get_policy
cpufreq_policy_transition_delay_us
cpufreq_quick_get
cpufreq_quick_get_max
cpufreq_register_driver
cpufreq_register_governor
cpufreq_register_notifier
cpufreq_remove_update_util_hook
cpufreq_table_index_unsorted
cpufreq_this_cpu_can_update
cpufreq_unregister_driver
cpufreq_unregister_notifier
cpufreq_update_policy
cpuhp_tasks_frozen
cpuidle_governor_latency_req
cpuidle_register_governor
cpumask_any_but
cpumask_next
cpumask_next_and
cpumask_next_wrap
cpupri_find_fitness
cpus_read_lock
cpus_read_unlock
crc32_le
crc8
crc8_populate_msb
create_function_device
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_alloc_aead
crypto_alloc_base
crypto_alloc_shash
crypto_alloc_skcipher
crypto_cipher_encrypt_one
crypto_cipher_setkey
crypto_comp_compress
crypto_comp_decompress
crypto_destroy_tfm
crypto_has_alg
crypto_register_alg
crypto_register_rngs
crypto_register_scomp
crypto_shash_digest
crypto_shash_final
crypto_shash_setkey
crypto_shash_update
crypto_skcipher_decrypt
crypto_skcipher_encrypt
crypto_skcipher_setkey
crypto_unregister_alg
crypto_unregister_rngs
crypto_unregister_scomp
css_next_child
csum_ipv6_magic
csum_partial
csum_tcpudp_nofold
current_time
current_work
d_add
d_alloc_name
d_delete
d_make_root
d_path
dapm_pinctrl_event
dapm_regulator_event
datagram_poll
deactivate_task
debugfs_attr_read
debugfs_attr_write
debugfs_create_atomic_t
debugfs_create_blob
debugfs_create_bool
debugfs_create_dir
debugfs_create_file
debugfs_create_file_unsafe
debugfs_create_regset32
debugfs_create_size_t
debugfs_create_symlink
debugfs_create_u16
debugfs_create_u32
debugfs_create_u64
debugfs_create_u8
debugfs_create_ulong
debugfs_create_x32
debugfs_create_x64
debugfs_create_x8
debugfs_file_get
debugfs_file_put
debugfs_lookup
debugfs_print_regs32
debugfs_remove
dec_zone_page_state
default_llseek
deferred_free
del_gendisk
del_timer
del_timer_sync
delayed_work_timer_fn
desc_to_gpio
destroy_workqueue
dev_alloc_name
dev_close
dev_coredumpm
dev_coredumpv
dev_driver_string
dev_err_probe
dev_fwnode
dev_get_by_index
dev_get_by_name
dev_get_regmap
dev_get_stats
dev_mc_sync_multiple
dev_mc_unsync
dev_open
dev_pm_clear_wake_irq
dev_pm_domain_attach
dev_pm_domain_attach_by_name
dev_pm_domain_detach
dev_pm_genpd_add_notifier
dev_pm_genpd_remove_notifier
dev_pm_genpd_set_next_wakeup
dev_pm_genpd_set_performance_state
dev_pm_opp_add
dev_pm_opp_adjust_voltage
dev_pm_opp_disable
dev_pm_opp_enable
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_exact
dev_pm_opp_find_freq_floor
dev_pm_opp_free_cpufreq_table
dev_pm_opp_get_freq
dev_pm_opp_get_level
dev_pm_opp_get_max_transition_latency
dev_pm_opp_get_opp_count
dev_pm_opp_get_opp_table
dev_pm_opp_get_sharing_cpus
dev_pm_opp_get_suspend_opp_freq
dev_pm_opp_get_voltage
dev_pm_opp_init_cpufreq_table
dev_pm_opp_of_add_table
dev_pm_opp_of_cpumask_add_table
dev_pm_opp_of_cpumask_remove_table
dev_pm_opp_of_find_icc_paths
dev_pm_opp_of_get_sharing_cpus
dev_pm_opp_of_register_em
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_clkname
dev_pm_opp_put_opp_table
dev_pm_opp_put_regulators
dev_pm_opp_register_notifier
dev_pm_opp_remove_all_dynamic
dev_pm_opp_set_bw
dev_pm_opp_set_clkname
dev_pm_opp_set_rate
dev_pm_opp_set_regulators
dev_pm_opp_set_sharing_cpus
dev_pm_opp_set_supported_hw
dev_pm_opp_unregister_notifier
dev_pm_qos_add_notifier
dev_pm_qos_add_request
dev_pm_qos_expose_latency_tolerance
dev_pm_qos_hide_latency_tolerance
dev_pm_qos_read_value
dev_pm_qos_remove_notifier
dev_pm_qos_remove_request
dev_pm_qos_update_request
dev_pm_qos_update_user_latency_tolerance
dev_pm_set_dedicated_wake_irq
dev_printk
dev_printk_emit
dev_queue_xmit
dev_set_mtu
dev_set_name
dev_uc_sync_multiple
dev_uc_unsync
devfreq_add_device
devfreq_add_governor
devfreq_cooling_unregister
devfreq_get_devfreq_by_phandle
devfreq_monitor_resume
devfreq_monitor_start
devfreq_monitor_stop
devfreq_monitor_suspend
devfreq_recommended_opp
devfreq_register_opp_notifier
devfreq_remove_device
devfreq_remove_governor
devfreq_resume_device
devfreq_suspend_device
devfreq_unregister_opp_notifier
devfreq_update_interval
device_add
device_add_disk
device_add_groups
device_attach
device_bind_driver
device_create
device_create_bin_file
device_create_file
device_create_with_groups
device_del
device_destroy
device_find_child
device_for_each_child
device_get_dma_attr
device_get_mac_address
device_get_match_data
device_get_named_child_node
device_get_next_child_node
device_init_wakeup
device_initialize
device_link_add
device_link_del
device_match_fwnode
device_match_name
device_match_of_node
device_property_present
device_property_read_string
device_property_read_string_array
device_property_read_u16_array
device_property_read_u32_array
device_property_read_u8_array
device_register
device_release_driver
device_remove_bin_file
device_remove_file
device_remove_file_self
device_remove_groups
device_set_wakeup_capable
device_set_wakeup_enable
device_show_bool
device_show_int
device_store_bool
device_store_int
device_unregister
device_wakeup_disable
device_wakeup_enable
devm_add_action
devm_backlight_device_register
devm_backlight_device_unregister
devm_blk_ksm_init
devm_clk_bulk_get
devm_clk_bulk_get_all
devm_clk_bulk_get_optional
devm_clk_get
devm_clk_get_optional
devm_clk_hw_register
devm_clk_hw_register_clkdev
devm_clk_put
devm_clk_register
devm_devfreq_add_device
devm_devfreq_register_notifier
devm_devfreq_unregister_notifier
devm_device_add_group
devm_device_add_groups
devm_device_remove_group
devm_drm_panel_bridge_add_typed
devm_extcon_dev_allocate
devm_extcon_dev_register
devm_extcon_dev_unregister
devm_extcon_register_notifier
devm_free_irq
devm_free_percpu
devm_gen_pool_create
devm_get_clk_from_child
devm_gpio_free
devm_gpio_request
devm_gpio_request_one
devm_gpiochip_add_data_with_key
devm_gpiod_get
devm_gpiod_get_array
devm_gpiod_get_index
devm_gpiod_get_optional
devm_gpiod_put_array
devm_hwrng_register
devm_hwspin_lock_register
devm_i2c_new_dummy_device
devm_iio_channel_get
devm_iio_device_alloc
devm_input_allocate_device
devm_ioremap
devm_ioremap_resource
devm_ioremap_wc
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_kstrdup
devm_kstrdup_const
devm_kvasprintf
devm_led_classdev_register_ext
devm_mbox_controller_register
devm_memremap
devm_mfd_add_devices
devm_nvmem_cell_get
devm_nvmem_device_get
devm_nvmem_register
devm_of_clk_add_hw_provider
devm_of_icc_get
devm_of_iomap
devm_of_platform_populate
devm_of_pwm_get
devm_pci_alloc_host_bridge
devm_phy_create
devm_phy_get
devm_phy_put
devm_pinctrl_get
devm_pinctrl_put
devm_pinctrl_register
devm_pinctrl_register_and_init
devm_platform_get_and_ioremap_resource
devm_platform_ioremap_resource
devm_platform_ioremap_resource_byname
devm_power_supply_register
devm_pwm_put
devm_regmap_add_irq_chip
devm_regmap_del_irq_chip
devm_regmap_field_alloc
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_get_exclusive
devm_regulator_get_optional
devm_regulator_put
devm_regulator_register
devm_regulator_register_notifier
devm_request_any_context_irq
devm_request_threaded_irq
devm_reset_control_array_get
devm_reset_controller_register
devm_rtc_allocate_device
devm_rtc_device_register
devm_snd_dmaengine_pcm_register
devm_snd_soc_register_card
devm_snd_soc_register_component
devm_spi_register_controller
devm_thermal_of_cooling_device_register
devm_thermal_zone_of_sensor_register
devm_thermal_zone_of_sensor_unregister
devm_usb_get_phy
devm_usb_get_phy_by_node
devm_usb_get_phy_by_phandle
devm_watchdog_register_device
devres_add
devres_alloc_node
devres_free
devres_release
disable_irq
disable_irq_nosync
disable_percpu_irq
disk_end_io_acct
disk_start_io_acct
divider_get_val
divider_recalc_rate
divider_ro_round_rate_parent
divider_round_rate_parent
dma_alloc_attrs
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_begin_cpu_access_partial
dma_buf_detach
dma_buf_dynamic_attach
dma_buf_end_cpu_access
dma_buf_end_cpu_access_partial
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_get_flags
dma_buf_map_attachment
dma_buf_mmap
dma_buf_move_notify
dma_buf_pin
dma_buf_put
dma_buf_unmap_attachment
dma_buf_unpin
dma_buf_vmap
dma_buf_vunmap
dma_contiguous_default_area
dma_fence_add_callback
dma_fence_array_create
dma_fence_array_ops
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_enable_sw_signaling
dma_fence_free
dma_fence_get_status
dma_fence_get_stub
dma_fence_init
dma_fence_match_context
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_signal_locked
dma_fence_wait_any_timeout
dma_fence_wait_timeout
dma_free_attrs
dma_get_merge_boundary
dma_get_required_mask
dma_get_sgtable_attrs
dma_get_slave_caps
dma_get_slave_channel
dma_heap_add
dma_heap_buffer_alloc
dma_heap_buffer_free
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dma_heap_get_name
dma_heap_put
dma_map_page_attrs
dma_map_resource
dma_map_sg_attrs
dma_max_mapping_size
dma_mmap_attrs
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_release_channel
dma_request_chan
dma_resv_add_excl_fence
dma_resv_add_shared_fence
dma_resv_fini
dma_resv_get_fences_rcu
dma_resv_init
dma_resv_reserve_shared
dma_resv_test_signaled_rcu
dma_resv_wait_timeout_rcu
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_resource
dma_unmap_sg_attrs
dmabuf_page_pool_alloc
dmabuf_page_pool_create
dmabuf_page_pool_destroy
dmabuf_page_pool_free
dmaengine_unmap_put
dmam_alloc_attrs
dmam_free_coherent
dmam_pool_create
do_SAK
do_exit
do_trace_rcu_torture_read
do_wait_intr
do_wait_intr_irq
down
down_interruptible
down_read
down_read_killable
down_read_trylock
down_timeout
down_trylock
down_write
downgrade_write
dput
drain_workqueue
driver_create_file
driver_find_device
driver_register
driver_remove_file
driver_unregister
drm_add_edid_modes
drm_add_modes_noedid
drm_atomic_add_affected_connectors
drm_atomic_add_affected_planes
drm_atomic_commit
drm_atomic_get_connector_state
drm_atomic_get_crtc_state
drm_atomic_get_new_connector_for_encoder
drm_atomic_get_plane_state
drm_atomic_get_private_obj_state
drm_atomic_helper_bridge_destroy_state
drm_atomic_helper_bridge_duplicate_state
drm_atomic_helper_bridge_reset
drm_atomic_helper_check
drm_atomic_helper_check_modeset
drm_atomic_helper_check_plane_state
drm_atomic_helper_check_planes
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit
drm_atomic_helper_commit_cleanup_done
drm_atomic_helper_commit_duplicated_state
drm_atomic_helper_commit_hw_done
drm_atomic_helper_commit_modeset_disables
drm_atomic_helper_commit_modeset_enables
drm_atomic_helper_commit_planes
drm_atomic_helper_commit_tail
drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_reset
drm_atomic_helper_crtc_destroy_state
drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_crtc_reset
drm_atomic_helper_damage_merged
drm_atomic_helper_dirtyfb
drm_atomic_helper_disable_plane
drm_atomic_helper_disable_planes_on_crtc
drm_atomic_helper_duplicate_state
drm_atomic_helper_fake_vblank
drm_atomic_helper_page_flip
drm_atomic_helper_plane_destroy_state
drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_reset
drm_atomic_helper_prepare_planes
drm_atomic_helper_set_config
drm_atomic_helper_setup_commit
drm_atomic_helper_shutdown
drm_atomic_helper_swap_state
drm_atomic_helper_update_legacy_modeset_state
drm_atomic_helper_update_plane
drm_atomic_helper_wait_for_dependencies
drm_atomic_helper_wait_for_fences
drm_atomic_helper_wait_for_flip_done
drm_atomic_helper_wait_for_vblanks
drm_atomic_normalize_zpos
drm_atomic_private_obj_fini
drm_atomic_private_obj_init
drm_atomic_set_crtc_for_connector
drm_atomic_set_crtc_for_plane
drm_atomic_set_fb_for_plane
drm_atomic_set_fence_for_plane
drm_atomic_set_mode_for_crtc
drm_atomic_state_alloc
drm_atomic_state_clear
drm_atomic_state_default_clear
drm_atomic_state_default_release
drm_atomic_state_init
drm_bridge_add
drm_bridge_attach
drm_bridge_chain_disable
drm_bridge_chain_enable
drm_bridge_chain_mode_set
drm_bridge_chain_post_disable
drm_bridge_chain_pre_enable
drm_bridge_hpd_notify
drm_bridge_remove
drm_client_init
drm_client_modeset_commit_locked
drm_client_register
drm_compat_ioctl
drm_connector_attach_dp_subconnector_property
drm_connector_attach_edid_property
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_has_possible_encoder
drm_connector_init
drm_connector_init_with_ddc
drm_connector_list_iter_begin
drm_connector_list_iter_end
drm_connector_list_iter_next
drm_connector_register
drm_connector_set_tile_property
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_arm_vblank_event
drm_crtc_cleanup
drm_crtc_enable_color_mgmt
drm_crtc_from_index
drm_crtc_handle_vblank
drm_crtc_helper_set_config
drm_crtc_helper_set_mode
drm_crtc_init
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_set_max_vblank_count
drm_crtc_vblank_count
drm_crtc_vblank_count_and_time
drm_crtc_vblank_get
drm_crtc_vblank_helper_get_vblank_timestamp
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_put
drm_crtc_vblank_reset
drm_crtc_wait_one_vblank
drm_cvt_mode
drm_debugfs_create_files
drm_detect_hdmi_monitor
drm_detect_monitor_audio
drm_dev_alloc
drm_dev_dbg
drm_dev_enter
drm_dev_exit
drm_dev_get
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_set_unique
drm_dev_unplug
drm_dev_unregister
drm_display_mode_to_videomode
drm_do_get_edid
drm_dp_atomic_find_vcpi_slots
drm_dp_atomic_release_vcpi_slots
drm_dp_aux_init
drm_dp_aux_register
drm_dp_aux_unregister
drm_dp_bw_code_to_link_rate
drm_dp_calc_pbn_mode
drm_dp_channel_eq_ok
drm_dp_check_act_status
drm_dp_clock_recovery_ok
drm_dp_dpcd_read
drm_dp_dpcd_read_link_status
drm_dp_dpcd_write
drm_dp_find_vcpi_slots
drm_dp_get_adjust_request_pre_emphasis
drm_dp_get_adjust_request_voltage
drm_dp_get_edid_quirks
drm_dp_link_rate_to_bw_code
drm_dp_link_train_channel_eq_delay
drm_dp_link_train_clock_recovery_delay
drm_dp_mst_allocate_vcpi
drm_dp_mst_deallocate_vcpi
drm_dp_mst_detect_port
drm_dp_mst_get_edid
drm_dp_mst_get_port_malloc
drm_dp_mst_hpd_irq
drm_dp_mst_put_port_malloc
drm_dp_mst_reset_vcpi_slots
drm_dp_mst_topology_mgr_destroy
drm_dp_mst_topology_mgr_init
drm_dp_mst_topology_mgr_set_mst
drm_dp_send_power_updown_phy
drm_dp_set_subconnector_property
drm_dp_update_payload_part1
drm_dp_update_payload_part2
drm_edid_block_valid
drm_edid_duplicate
drm_edid_get_monitor_name
drm_edid_header_is_valid
drm_edid_is_valid
drm_edid_to_sad
drm_edid_to_speaker_allocation
drm_encoder_cleanup
drm_encoder_init
drm_event_cancel_free
drm_event_reserve_init
drm_event_reserve_init_locked
drm_fb_cma_get_gem_obj
drm_flip_work_cleanup
drm_flip_work_commit
drm_flip_work_init
drm_flip_work_queue
drm_format_info
drm_framebuffer_cleanup
drm_framebuffer_init
drm_framebuffer_lookup
drm_framebuffer_remove
drm_framebuffer_unregister_private
drm_gem_cma_dumb_create_internal
drm_gem_cma_free_object
drm_gem_cma_mmap
drm_gem_cma_prime_get_sg_table
drm_gem_cma_prime_import_sg_table
drm_gem_cma_prime_mmap
drm_gem_cma_prime_vmap
drm_gem_cma_prime_vunmap
drm_gem_cma_vm_ops
drm_gem_create_mmap_offset
drm_gem_dmabuf_mmap
drm_gem_dmabuf_release
drm_gem_dmabuf_vmap
drm_gem_dmabuf_vunmap
drm_gem_fb_create
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_fb_get_obj
drm_gem_fb_prepare_fb
drm_gem_free_mmap_offset
drm_gem_get_pages
drm_gem_handle_create
drm_gem_lock_reservations
drm_gem_map_attach
drm_gem_map_detach
drm_gem_map_dma_buf
drm_gem_mmap
drm_gem_mmap_obj
drm_gem_object_free
drm_gem_object_init
drm_gem_object_lookup
drm_gem_object_put_locked
drm_gem_object_release
drm_gem_prime_export
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_prime_import
drm_gem_prime_import_dev
drm_gem_prime_mmap
drm_gem_private_object_init
drm_gem_put_pages
drm_gem_shmem_create
drm_gem_shmem_free_object
drm_gem_shmem_get_sg_table
drm_gem_shmem_mmap
drm_gem_shmem_pin
drm_gem_shmem_print_info
drm_gem_shmem_unpin
drm_gem_shmem_vmap
drm_gem_shmem_vunmap
drm_gem_unlock_reservations
drm_gem_unmap_dma_buf
drm_gem_vm_close
drm_gem_vm_open
drm_get_connector_status_name
drm_get_edid
drm_get_format_info
drm_get_format_name
drm_handle_vblank
drm_hdmi_avi_infoframe_from_display_mode
drm_helper_connector_dpms
drm_helper_disable_unused_functions
drm_helper_force_disable_all
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_helper_resume_force_mode
drm_ioctl
drm_irq_install
drm_irq_uninstall
drm_is_current_master
drm_kms_helper_hotplug_event
drm_kms_helper_is_poll_worker
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drm_match_cea_mode
drm_mm_init
drm_mm_insert_node_in_range
drm_mm_print
drm_mm_remove_node
drm_mm_takedown
drm_mode_config_cleanup
drm_mode_config_helper_resume
drm_mode_config_helper_suspend
drm_mode_config_reset
drm_mode_convert_to_umode
drm_mode_convert_umode
drm_mode_copy
drm_mode_create
drm_mode_create_dp_colorspace_property
drm_mode_create_scaling_mode_property
drm_mode_create_tile_group
drm_mode_crtc_set_gamma_size
drm_mode_debug_printmodeline
drm_mode_destroy
drm_mode_duplicate
drm_mode_equal
drm_mode_equal_no_clocks
drm_mode_get_tile_group
drm_mode_is_420_only
drm_mode_match
drm_mode_object_find
drm_mode_object_get
drm_mode_object_put
drm_mode_probed_add
drm_mode_set_crtcinfo
drm_mode_set_name
drm_mode_vrefresh
drm_modeset_acquire_fini
drm_modeset_acquire_init
drm_modeset_backoff
drm_modeset_drop_locks
drm_modeset_lock
drm_modeset_lock_all
drm_modeset_lock_all_ctx
drm_modeset_lock_init
drm_modeset_unlock
drm_modeset_unlock_all
drm_need_swiotlb
drm_object_attach_property
drm_object_property_set_value
drm_of_component_match_add
drm_of_find_possible_crtcs
drm_open
drm_panel_add
drm_panel_disable
drm_panel_enable
drm_panel_get_modes
drm_panel_init
drm_panel_prepare
drm_panel_remove
drm_panel_unprepare
drm_plane_cleanup
drm_plane_create_alpha_property
drm_plane_create_blend_mode_property
drm_plane_create_rotation_property
drm_plane_create_zpos_property
drm_plane_enable_fb_damage_clips
drm_poll
drm_prime_gem_destroy
drm_prime_pages_to_sg
drm_prime_sg_to_page_addr_arrays
drm_printf
drm_property_blob_get
drm_property_blob_put
drm_property_create
drm_property_create_bitmask
drm_property_create_blob
drm_property_create_bool
drm_property_create_enum
drm_property_create_range
drm_property_create_signed_range
drm_property_lookup_blob
drm_property_replace_blob
drm_puts
drm_read
drm_rect_calc_hscale
drm_rect_calc_vscale
drm_rect_clip_scaled
drm_rect_intersect
drm_release
drm_rotation_simplify
drm_send_event
drm_send_event_locked
drm_set_preferred_mode
drm_simple_encoder_init
drm_state_dump
drm_syncobj_add_point
drm_syncobj_create
drm_syncobj_find
drm_syncobj_find_fence
drm_syncobj_free
drm_syncobj_get_fd
drm_syncobj_get_handle
drm_syncobj_replace_fence
drm_sysfs_hotplug_event
drm_universal_plane_init
drm_vblank_init
drm_vma_node_allow
drm_vma_node_is_allowed
drm_vma_node_revoke
drm_wait_one_vblank
drm_writeback_connector_init
drm_writeback_queue_job
drm_writeback_signal_completion
drmm_kmalloc
drmm_mode_config_init
dst_release
dump_backtrace
dump_stack
dup_iter
dw_handle_msi_irq
dw_pcie_host_init
dw_pcie_msi_init
dw_pcie_own_conf_map_bus
dw_pcie_read
dw_pcie_setup_rc
dw_pcie_write
dwc3_send_gadget_ep_cmd
dwc3_stop_active_transfer
edac_device_add_device
edac_device_alloc_ctl_info
edac_device_alloc_index
edac_device_del_device
edac_device_free_ctl_info
edac_device_handle_ce_count
edac_device_handle_ue_count
efi
efi_tpm_final_log_size
elevator_alloc
elv_bio_merge_ok
elv_rb_add
elv_rb_del
elv_rb_find
elv_rb_former_request
elv_rb_latter_request
elv_register
elv_rqhash_add
elv_rqhash_del
elv_unregister
emergency_restart
enable_irq
enable_percpu_irq
eth_commit_mac_addr_change
eth_mac_addr
eth_platform_get_mac_address
eth_prepare_mac_addr_change
eth_type_trans
eth_validate_addr
ether_setup
ethtool_op_get_link
ethtool_op_get_ts_info
ethtool_virtdev_set_link_ksettings
event_triggers_call
eventfd_ctx_fdget
eventfd_ctx_fileget
eventfd_ctx_put
eventfd_ctx_remove_wait_queue
eventfd_signal
extcon_find_edev_by_node
extcon_get_edev_by_phandle
extcon_get_edev_name
extcon_get_extcon_dev
extcon_get_property
extcon_get_state
extcon_register_notifier
extcon_set_property
extcon_set_property_capability
extcon_set_state_sync
extcon_unregister_notifier
fasync_helper
fd_install
fget
file_path
file_ra_state_init
filp_close
filp_open_block
find_get_pid
find_last_bit
find_next_bit
find_next_zero_bit
find_snd_usb_substream
find_task_by_vpid
find_vma
find_vpid
finish_wait
firmware_request_nowarn
fixed_size_llseek
flow_keys_basic_dissector
flush_dcache_page
flush_delayed_work
flush_signals
flush_work
flush_workqueue
fput
frame_vector_create
frame_vector_destroy
frame_vector_to_pages
free_buffer_head
free_io_pgtable_ops
free_irq
free_netdev
free_pages
free_pages_exact
free_percpu
free_percpu_irq
freezing_slow_path
freq_qos_add_request
freq_qos_remove_request
freq_qos_update_request
freq_scale
fs_bio_set
fsync_bdev
ftrace_dump
full_name_hash
fwnode_find_reference
fwnode_get_name
fwnode_get_named_child_node
fwnode_get_next_child_node
fwnode_gpiod_get_index
fwnode_handle_get
fwnode_handle_put
fwnode_property_present
fwnode_property_read_string
fwnode_property_read_u32_array
fwnode_usb_role_switch_get
gcd
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_best_fit
gen_pool_create
gen_pool_destroy
gen_pool_dma_alloc_align
gen_pool_dma_zalloc_align
gen_pool_first_fit_align
gen_pool_first_fit_order_align
gen_pool_free_owner
gen_pool_has_addr
gen_pool_set_algo
gen_pool_size
gen_pool_virt_to_phys
generic_delete_inode
generic_device_group
generic_file_llseek
generic_file_read_iter
generic_handle_irq
generic_iommu_put_resv_regions
generic_mii_ioctl
generic_perform_write
generic_write_checks
genl_notify
genl_register_family
genl_unregister_family
genlmsg_put
genphy_resume
get_cpu_device
get_cpu_idle_time
get_cpu_idle_time_us
get_cpu_iowait_time_us
get_device
get_device_system_crosststamp
get_governor_parent_kobj
get_next_ino
get_option
get_options
get_pid_task
get_random_bytes
get_random_bytes_arch
get_random_u32
get_random_u64
get_sg_io_hdr
get_state_synchronize_rcu
get_task_exe_file
get_task_mm
get_task_pid
get_thermal_instance
get_tree_single
get_unmapped_area
get_unused_fd_flags
get_user_pages
get_user_pages_fast
get_user_pages_remote
get_vaddr_frames
get_zeroed_page
getboottime64
gfp_zone
gic_nonsecure_priorities
glob_match
gnss_allocate_device
gnss_deregister_device
gnss_insert_raw
gnss_put_device
gnss_register_device
gov_attr_set_get
gov_attr_set_init
gov_attr_set_put
governor_sysfs_ops
gpio_free
gpio_free_array
gpio_request
gpio_request_one
gpio_to_desc
gpiochip_add_data_with_key
gpiochip_add_pin_range
gpiochip_find
gpiochip_generic_config
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_line_is_valid
gpiochip_lock_as_irq
gpiochip_populate_parent_fwspec_fourcell
gpiochip_remove
gpiochip_unlock_as_irq
gpiod_cansleep
gpiod_count
gpiod_direction_input
gpiod_direction_output
gpiod_direction_output_raw
gpiod_get_optional
gpiod_get_raw_value
gpiod_get_raw_value_cansleep
gpiod_get_value
gpiod_get_value_cansleep
gpiod_set_consumer_name
gpiod_set_debounce
gpiod_set_raw_value
gpiod_set_raw_value_cansleep
gpiod_set_value
gpiod_set_value_cansleep
gpiod_to_chip
gpiod_to_irq
gro_cells_destroy
gro_cells_init
gro_cells_receive
gserial_alloc_line
gserial_connect
gserial_disconnect
guid_gen
handle_bad_irq
handle_edge_irq
handle_fasteoi_ack_irq
handle_fasteoi_irq
handle_level_irq
handle_nested_irq
handle_simple_irq
handle_sysrq
hash_digest_size
hashlen_string
have_governor_per_policy
hci_alloc_dev
hci_free_dev
hci_recv_frame
hci_register_dev
hci_unregister_dev
hdmi_audio_infoframe_init
hdmi_audio_infoframe_pack
hdmi_avi_infoframe_init
hdmi_avi_infoframe_pack
hdmi_infoframe_pack
hex2bin
hex_dump_to_buffer
hex_to_bin
hmm_range_fault
hrtimer_active
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_init_sleeper
hrtimer_sleeper_start_expires
hrtimer_start_range_ns
hrtimer_try_to_cancel
hvc_alloc
hvc_instantiate
hvc_kick
hvc_poll
hvc_remove
hwrng_register
hwrng_unregister
hwspin_lock_free
hwspin_lock_request_specific
hypervisor_kobj
i2c_adapter_type
i2c_add_adapter
i2c_add_numbered_adapter
i2c_bit_add_bus
i2c_bit_add_numbered_bus
i2c_bus_type
i2c_client_type
i2c_del_adapter
i2c_del_driver
i2c_for_each_dev
i2c_generic_scl_recovery
i2c_get_adapter
i2c_get_device_id
i2c_get_dma_safe_msg_buf
i2c_match_id
i2c_new_ancillary_device
i2c_new_client_device
i2c_new_dummy_device
i2c_new_scanned_device
i2c_parse_fw_timings
i2c_put_adapter
i2c_put_dma_safe_msg_buf
i2c_recover_bus
i2c_register_driver
i2c_smbus_read_byte
i2c_smbus_read_byte_data
i2c_smbus_read_i2c_block_data
i2c_smbus_read_word_data
i2c_smbus_write_byte
i2c_smbus_write_byte_data
i2c_smbus_write_i2c_block_data
i2c_smbus_write_word_data
i2c_smbus_xfer
i2c_transfer
i2c_transfer_buffer_flags
i2c_unregister_device
i2c_verify_adapter
i2c_verify_client
icc_disable
icc_enable
icc_get
icc_link_create
icc_node_add
icc_node_create
icc_node_del
icc_node_destroy
icc_nodes_remove
icc_provider_add
icc_provider_del
icc_put
icc_set_bw
icc_set_tag
icc_std_aggregate
icc_sync_state
ida_alloc_range
ida_destroy
ida_free
idr_alloc
idr_alloc_cyclic
idr_alloc_u32
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_preload
idr_remove
idr_replace
ieee802154_alloc_hw
ieee802154_free_hw
ieee802154_register_hw
ieee802154_rx_irqsafe
ieee802154_unregister_hw
ieee802154_wake_queue
ieee802154_xmit_complete
iio_buffer_init
iio_buffer_put
iio_channel_get
iio_channel_get_all
iio_channel_release
iio_device_alloc
iio_device_attach_buffer
iio_device_free
iio_device_unregister
iio_push_to_buffers
iio_read_channel_processed
iio_read_channel_raw
import_iovec
in4_pton
in6_pton
in_aton
in_egroup_p
inc_zone_page_state
inet_proto_csum_replace4
init_dummy_netdev
init_iova_domain
init_net
init_on_free
init_pid_ns
init_pseudo
init_srcu_struct
init_task
init_timer_key
init_uts_ns
init_wait_entry
input_alloc_absinfo
input_allocate_device
input_close_device
input_event
input_ff_create
input_ff_destroy
input_free_device
input_mt_assign_slots
input_mt_destroy_slots
input_mt_drop_unused
input_mt_init_slots
input_mt_report_finger_count
input_mt_report_pointer_emulation
input_mt_report_slot_state
input_mt_sync_frame
input_open_device
input_register_device
input_register_handle
input_register_handler
input_set_abs_params
input_set_capability
input_set_timestamp
input_unregister_device
input_unregister_handle
input_unregister_handler
int_pow
int_sqrt
interval_tree_insert
interval_tree_iter_first
interval_tree_iter_next
interval_tree_remove
invalidate_bdev
invalidate_mapping_pages
io_schedule_timeout
iomem_resource
iommu_alloc_resv_region
iommu_attach_device
iommu_attach_group
iommu_aux_attach_device
iommu_aux_detach_device
iommu_aux_get_pasid
iommu_detach_device
iommu_detach_group
iommu_dev_enable_feature
iommu_dev_feature_enabled
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unlink
iommu_device_unregister
iommu_dma_enable_best_fit_algo
iommu_dma_get_resv_regions
iommu_dma_reserve_iova
iommu_domain_alloc
iommu_domain_free
iommu_domain_get_attr
iommu_domain_set_attr
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_get_domain_for_dev
iommu_get_msi_cookie
iommu_group_alloc
iommu_group_for_each_dev
iommu_group_get
iommu_group_get_iommudata
iommu_group_put
iommu_group_ref_get
iommu_group_set_iommudata
iommu_group_set_name
iommu_iova_to_phys
iommu_map
iommu_map_sg
iommu_present
iommu_put_dma_cookie
iommu_register_device_fault_handler
iommu_report_device_fault
iommu_set_fault_handler
iommu_unmap
iommu_unregister_device_fault_handler
iounmap
iov_iter_bvec
iov_iter_kvec
ip_compute_csum
ip_send_check
ipi_desc_get
iput
ipv6_ext_hdr
ipv6_find_hdr
ipv6_skip_exthdr
irq_chip_ack_parent
irq_chip_disable_parent
irq_chip_enable_parent
irq_chip_eoi_parent
irq_chip_get_parent_state
irq_chip_mask_parent
irq_chip_retrigger_hierarchy
irq_chip_set_affinity_parent
irq_chip_set_parent_state
irq_chip_set_type_parent
irq_chip_set_vcpu_affinity_parent
irq_chip_set_wake_parent
irq_chip_unmask_parent
irq_create_fwspec_mapping
irq_create_mapping_affinity
irq_create_of_mapping
irq_dispose_mapping
irq_domain_alloc_irqs_parent
irq_domain_create_hierarchy
irq_domain_free_irqs_common
irq_domain_free_irqs_parent
irq_domain_get_irq_data
irq_domain_remove
irq_domain_set_hwirq_and_chip
irq_domain_set_info
irq_domain_simple_ops
irq_domain_update_bus_token
irq_domain_xlate_onecell
irq_domain_xlate_onetwocell
irq_domain_xlate_twocell
irq_find_mapping
irq_find_matching_fwspec
irq_get_irq_data
irq_get_irqchip_state
irq_modify_status
irq_of_parse_and_map
irq_set_affinity_hint
irq_set_affinity_notifier
irq_set_chained_handler_and_data
irq_set_chip
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_handler_data
irq_set_irq_type
irq_set_irq_wake
irq_set_irqchip_state
irq_set_parent
irq_to_desc
irq_work_queue
irq_work_queue_on
irq_work_sync
is_dma_buf_file
is_vmalloc_addr
jiffies
jiffies64_to_msecs
jiffies_64_to_clock_t
jiffies_to_msecs
jiffies_to_usecs
kasan_flag_enabled
kasprintf
kern_mount
kern_unmount
kernel_bind
kernel_connect
kernel_cpustat
kernel_getsockname
kernel_kobj
kernel_power_off
kernel_recvmsg
kernel_restart
kernel_sendmsg
kernel_sigaction
kernfs_find_and_get_ns
kernfs_notify
kernfs_put
kfree
kfree_const
kfree_sensitive
kfree_skb
kick_all_cpus_sync
kill_anon_super
kill_fasync
kill_litter_super
kimage_vaddr
kimage_voffset
kiocb_set_cancel_fn
kmalloc_caches
kmalloc_order
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_create_usercopy
kmem_cache_destroy
kmem_cache_free
kmemdup
kmemdup_nul
kmsg_dump_get_line
kmsg_dump_rewind
kobj_sysfs_ops
kobject_add
kobject_create_and_add
kobject_del
kobject_get
kobject_init
kobject_init_and_add
kobject_put
kobject_set_name
kobject_uevent
kobject_uevent_env
krealloc
kset_create_and_add
ksize
ksoftirqd
kstat
kstat_irqs_cpu
kstat_irqs_usr
kstrdup
kstrdup_const
kstrdup_quotable_cmdline
kstrndup
kstrtobool
kstrtobool_from_user
kstrtoint
kstrtoint_from_user
kstrtol_from_user
kstrtoll
kstrtos8
kstrtos8_from_user
kstrtou16
kstrtou16_from_user
kstrtou8
kstrtou8_from_user
kstrtouint
kstrtouint_from_user
kstrtoul_from_user
kstrtoull
kstrtoull_from_user
ksys_sync_helper
kthread_bind
kthread_bind_mask
kthread_cancel_delayed_work_sync
kthread_cancel_work_sync
kthread_create_on_node
kthread_create_worker
kthread_delayed_work_timer_fn
kthread_destroy_worker
kthread_flush_work
kthread_flush_worker
kthread_mod_delayed_work
kthread_park
kthread_parkme
kthread_queue_delayed_work
kthread_queue_work
kthread_should_park
kthread_should_stop
kthread_stop
kthread_unpark
kthread_unuse_mm
kthread_use_mm
kthread_worker_fn
ktime_add_safe
ktime_get
ktime_get_coarse_with_offset
ktime_get_mono_fast_ns
ktime_get_raw
ktime_get_raw_ts64
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_seconds
ktime_get_ts64
ktime_get_with_offset
kvasprintf
kvfree
kvfree_call_rcu
kvmalloc_node
led_classdev_flash_register_ext
led_classdev_flash_unregister
led_classdev_register_ext
led_classdev_unregister
led_trigger_event
led_trigger_register_simple
led_trigger_unregister_simple
list_sort
llist_add_batch
llist_reverse_order
lock_sock_nested
log_abnormal_wakeup_reason
log_buf_addr_get
log_buf_len_get
log_threaded_irq_wakeup_reason
loops_per_jiffy
lzo1x_1_compress
lzo1x_decompress_safe
lzorle1x_1_compress
mac_pton
match_string
mbox_chan_received_data
mbox_chan_txdone
mbox_client_txdone
mbox_controller_register
mbox_controller_unregister
mbox_free_channel
mbox_request_channel
mbox_send_message
mdiobus_alloc_size
mdiobus_free
mdiobus_unregister
media_device_cleanup
media_device_init
media_device_unregister
media_entity_pads_init
memblock_end_of_DRAM
memblock_free
memchr
memchr_inv
memcmp
memcpy
memdup_user
memdup_user_nul
memmove
memory_block_size_bytes
memory_read_from_buffer
memparse
mempool_alloc
mempool_alloc_slab
mempool_create
mempool_create_node
mempool_destroy
mempool_exit
mempool_free
mempool_free_slab
mempool_init
mempool_kfree
mempool_kmalloc
memremap
memset
memset64
memstart_addr
memunmap
mfd_add_devices
mfd_remove_devices
migrate_swap
mii_check_media
mii_ethtool_get_link_ksettings
mii_ethtool_gset
mii_ethtool_set_link_ksettings
mii_link_ok
mii_nway_restart
mipi_dsi_attach
mipi_dsi_compression_mode
mipi_dsi_create_packet
mipi_dsi_dcs_read
mipi_dsi_dcs_set_column_address
mipi_dsi_dcs_set_display_brightness
mipi_dsi_dcs_set_page_address
mipi_dsi_dcs_set_tear_off
mipi_dsi_dcs_write_buffer
mipi_dsi_detach
mipi_dsi_device_register_full
mipi_dsi_device_unregister
mipi_dsi_driver_register_full
mipi_dsi_driver_unregister
mipi_dsi_host_register
mipi_dsi_host_unregister
mipi_dsi_packet_format_is_long
mipi_dsi_picture_parameter_set
misc_deregister
misc_register
mktime64
mm_trace_rss_stat
mmc_add_host
mmc_alloc_host
mmc_app_cmd
mmc_calc_max_discard
mmc_can_erase
mmc_can_gpio_cd
mmc_can_secure_erase_trim
mmc_can_trim
mmc_cmdq_disable
mmc_cmdq_enable
mmc_cqe_post_req
mmc_cqe_recovery
mmc_cqe_request_done
mmc_cqe_start_req
mmc_detect_card_removed
mmc_detect_change
mmc_erase
mmc_erase_group_aligned
mmc_flush_cache
mmc_free_host
mmc_get_card
mmc_get_ext_csd
mmc_gpio_get_cd
mmc_gpio_get_ro
mmc_gpiod_request_cd
mmc_gpiod_request_cd_irq
mmc_gpiod_request_ro
mmc_hw_reset
mmc_of_parse
mmc_of_parse_voltage
mmc_put_card
mmc_register_driver
mmc_regulator_get_supply
mmc_regulator_set_ocr
mmc_regulator_set_vqmmc
mmc_release_host
mmc_remove_host
mmc_request_done
mmc_retune_pause
mmc_retune_release
mmc_retune_unpause
mmc_run_bkops
mmc_sanitize
mmc_send_status
mmc_send_tuning
mmc_set_data_timeout
mmc_start_request
mmc_switch
mmc_unregister_driver
mmc_wait_for_cmd
mmc_wait_for_req
mmput
mmu_interval_notifier_insert
mmu_interval_notifier_remove
mmu_interval_read_begin
mmu_notifier_synchronize
mod_delayed_work_on
mod_node_page_state
mod_timer
module_layout
module_put
msleep
msleep_interruptible
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_trylock_recursive
mutex_unlock
name_to_dev_t
names_cachep
napi_complete_done
napi_consume_skb
napi_disable
napi_gro_flush
napi_gro_receive
napi_schedule_prep
net_namespace_list
net_ratelimit
netdev_change_features
netdev_err
netdev_increment_features
netdev_info
netdev_lower_state_changed
netdev_master_upper_dev_link
netdev_notify_peers
netdev_pick_tx
netdev_rx_handler_register
netdev_rx_handler_unregister
netdev_state_change
netdev_update_features
netdev_upper_dev_link
netdev_upper_dev_unlink
netdev_warn
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_napi_add
netif_receive_skb
netif_receive_skb_list
netif_rx
netif_rx_ni
netif_schedule_queue
netif_set_real_num_rx_queues
netif_set_real_num_tx_queues
netif_stacked_transfer_operstate
netif_tx_stop_all_queues
netif_tx_wake_queue
netlink_ack
netlink_broadcast
netlink_capable
netlink_has_listeners
netlink_kernel_release
netlink_register_notifier
netlink_unicast
netlink_unregister_notifier
new_inode
nf_conntrack_destroy
nla_append
nla_find
nla_memcpy
nla_put
nla_put_64bit
nla_put_nohdr
nla_reserve
nla_reserve_64bit
nla_strlcpy
no_llseek
no_seek_end_llseek
nonseekable_open
noop_llseek
nr_cpu_ids
nr_ipi_get
nr_irqs
ns_capable
ns_to_timespec64
nsec_to_clock_t
nsecs_to_jiffies
nvdimm_bus_register
nvdimm_bus_unregister
nvdimm_pmem_region_create
nvmem_cell_get
nvmem_cell_put
nvmem_cell_read
nvmem_cell_read_u32
nvmem_cell_write
nvmem_device_put
nvmem_device_read
nvmem_device_write
of_address_to_resource
of_alias_get_highest_id
of_alias_get_id
of_clk_add_hw_provider
of_clk_add_provider
of_clk_del_provider
of_clk_get
of_clk_get_by_name
of_clk_get_from_provider
of_clk_get_parent_count
of_clk_get_parent_name
of_clk_hw_onecell_get
of_clk_hw_simple_get
of_clk_set_defaults
of_clk_src_onecell_get
of_clk_src_simple_get
of_count_phandle_with_args
of_cpu_node_to_id
of_cpufreq_cooling_register
of_devfreq_cooling_register
of_devfreq_cooling_register_power
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_device_modalias
of_device_request_module
of_device_uevent_modalias
of_dma_configure_id
of_dma_controller_free
of_dma_controller_register
of_dma_is_coherent
of_drm_find_bridge
of_drm_find_panel
of_find_all_nodes
of_find_compatible_node
of_find_device_by_node
of_find_i2c_adapter_by_node
of_find_i2c_device_by_node
of_find_matching_node_and_match
of_find_mipi_dsi_host_by_node
of_find_node_by_name
of_find_node_by_phandle
of_find_node_by_type
of_find_node_opts_by_path
of_find_node_with_property
of_find_property
of_fwnode_ops
of_genpd_add_provider_onecell
of_genpd_add_provider_simple
of_genpd_del_provider
of_get_address
of_get_child_by_name
of_get_compatible_child
of_get_cpu_node
of_get_dma_window
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_next_parent
of_get_parent
of_get_property
of_get_regulator_init_data
of_graph_get_endpoint_by_regs
of_graph_get_next_endpoint
of_graph_get_port_parent
of_graph_get_remote_endpoint
of_graph_get_remote_node
of_graph_get_remote_port
of_graph_get_remote_port_parent
of_graph_is_present
of_graph_parse_endpoint
of_hwspin_lock_get_id
of_i2c_get_board_info
of_icc_get
of_icc_xlate_onecell
of_iomap
of_irq_find_parent
of_irq_get
of_irq_get_byname
of_irq_parse_one
of_machine_is_compatible
of_match_device
of_match_node
of_modalias_node
of_n_addr_cells
of_n_size_cells
of_node_name_eq
of_nvmem_device_get
of_parse_phandle
of_parse_phandle_with_args
of_parse_phandle_with_fixed_args
of_phandle_iterator_init
of_phandle_iterator_next
of_phy_simple_xlate
of_platform_depopulate
of_platform_device_create
of_platform_device_destroy
of_platform_populate
of_prop_next_string
of_prop_next_u32
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_u64
of_property_read_u64_index
of_property_read_variable_u16_array
of_property_read_variable_u32_array
of_property_read_variable_u64_array
of_property_read_variable_u8_array
of_pwm_xlate_with_flags
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
of_reset_control_array_get
of_root
of_thermal_get_ntrips
of_thermal_get_trip_points
of_thermal_is_trip_valid
of_translate_address
of_usb_get_phy_mode
of_usb_host_tpl_support
on_each_cpu
oops_in_progress
orderly_poweroff
overflowuid
page_endio
page_mapping
page_reporting_register
page_reporting_unregister
panic
panic_notifier_list
panic_timeout
param_array_ops
param_get_int
param_get_string
param_get_uint
param_get_ullong
param_ops_bint
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_hexint
param_ops_int
param_ops_long
param_ops_short
param_ops_string
param_ops_uint
param_ops_ullong
param_ops_ulong
param_ops_ushort
param_set_bool
param_set_copystring
param_set_int
param_set_uint
part_end_io_acct
part_start_io_acct
passthru_features_check
pause_cpus
pci_alloc_irq_vectors_affinity
pci_assign_resource
pci_assign_unassigned_bus_resources
pci_bus_resource_n
pci_bus_type
pci_clear_master
pci_d3cold_disable
pci_dev_present
pci_dev_put
pci_device_group
pci_device_is_present
pci_disable_device
pci_disable_msi
pci_enable_atomic_ops_to_root
pci_enable_device
pci_enable_device_mem
pci_enable_msi
pci_enable_wake
pci_find_bus
pci_find_capability
pci_find_ext_capability
pci_find_next_capability
pci_free_irq
pci_free_irq_vectors
pci_generic_config_read
pci_generic_config_write
pci_get_device
pci_get_domain_bus_and_slot
pci_get_slot
pci_host_probe
pci_intx
pci_iomap
pci_iomap_range
pci_ioremap_bar
pci_irq_get_affinity
pci_irq_vector
pci_load_and_free_saved_state
pci_load_saved_state
pci_map_rom
pci_match_id
pci_msi_create_irq_domain
pci_msi_mask_irq
pci_msi_unmask_irq
pci_msix_vec_count
pci_read_config_byte
pci_read_config_dword
pci_read_config_word
pci_release_region
pci_release_regions
pci_release_resource
pci_release_selected_regions
pci_request_irq
pci_request_region
pci_request_regions
pci_request_selected_regions
pci_rescan_bus
pci_resize_resource
pci_restore_msi_state
pci_restore_state
pci_save_state
pci_select_bars
pci_set_master
pci_set_mwi
pci_set_power_state
pci_store_saved_state
pci_unmap_rom
pci_unregister_driver
pci_wake_from_d3
pci_walk_bus
pci_write_config_byte
pci_write_config_dword
pci_write_config_word
pcibios_resource_to_bus
pcie_aspm_enabled
pcie_bandwidth_available
pcie_capability_read_word
pcie_capability_write_word
pcie_get_mps
pcie_get_speed_cap
pcim_enable_device
per_cpu_ptr_to_phys
percpu_down_write
percpu_ref_exit
percpu_ref_init
percpu_ref_is_zero
percpu_ref_kill_and_confirm
percpu_ref_switch_to_atomic_sync
percpu_ref_switch_to_percpu
percpu_up_write
perf_aux_output_begin
perf_aux_output_end
perf_aux_output_flag
perf_event_addr_filters_sync
perf_event_create_kernel_counter
perf_event_disable
perf_event_enable
perf_event_pause
perf_event_read_local
perf_event_read_value
perf_event_release_kernel
perf_event_update_userpage
perf_get_aux
perf_pmu_migrate_context
perf_pmu_register
perf_pmu_unregister
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_valid
phy_calibrate
phy_configure
phy_connect
phy_disconnect
phy_do_ioctl_running
phy_ethtool_get_link_ksettings
phy_ethtool_nway_reset
phy_ethtool_set_link_ksettings
phy_exit
phy_init
phy_pm_runtime_get_sync
phy_pm_runtime_put_sync
phy_power_off
phy_power_on
phy_print_status
phy_set_mode_ext
phy_start
phy_stop
pick_highest_pushable_task
pid_nr_ns
pid_task
pin_get_name
pin_user_pages
pin_user_pages_fast
pin_user_pages_remote
pinconf_generic_dt_free_map
pinconf_generic_dt_node_to_map
pinctrl_add_gpio_range
pinctrl_dev_get_drvdata
pinctrl_enable
pinctrl_force_default
pinctrl_force_sleep
pinctrl_get
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_idle_state
pinctrl_pm_select_sleep_state
pinctrl_put
pinctrl_remove_gpio_range
pinctrl_select_default_state
pinctrl_select_state
pinctrl_utils_free_map
pipe_lock
pipe_unlock
pktgen_xfrm_outer_mode_output
platform_add_devices
platform_bus_type
platform_device_add
platform_device_add_data
platform_device_add_properties
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
platform_driver_unregister
platform_find_device_by_driver
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_irq_optional
platform_get_resource
platform_get_resource_byname
platform_irq_count
pm_clk_add
pm_clk_create
pm_clk_destroy
pm_clk_resume
pm_clk_suspend
pm_generic_resume
pm_generic_runtime_resume
pm_generic_runtime_suspend
pm_generic_suspend
pm_genpd_add_subdomain
pm_genpd_init
pm_genpd_remove
pm_genpd_remove_subdomain
pm_power_off
pm_relax
pm_runtime_allow
pm_runtime_autosuspend_expiration
pm_runtime_barrier
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
pm_runtime_get_if_active
pm_runtime_irq_safe
pm_runtime_no_callbacks
pm_runtime_set_autosuspend_delay
pm_stay_awake
pm_suspend_global_flags
pm_system_wakeup
pm_wakeup_dev_event
pm_wakeup_ws_event
policy_has_boost_freq
power_supply_changed
power_supply_get_by_name
power_supply_get_by_phandle_array
power_supply_get_drvdata
power_supply_get_property
power_supply_is_system_supplied
power_supply_put
power_supply_reg_notifier
power_supply_register
power_supply_set_property
power_supply_unreg_notifier
power_supply_unregister
prandom_bytes
prandom_u32
preempt_schedule
preempt_schedule_notrace
prepare_to_wait
prepare_to_wait_event
print_hex_dump
printk
printk_deferred
printk_timed_ratelimit
proc_create
proc_create_data
proc_create_single_data
proc_dointvec
proc_dointvec_minmax
proc_dostring
proc_douintvec_minmax
proc_mkdir
proc_mkdir_data
proc_remove
proc_set_size
proc_set_user
proc_symlink
proto_register
proto_unregister
ps2_begin_command
ps2_cmd_aborted
ps2_command
ps2_drain
ps2_end_command
ps2_handle_ack
ps2_handle_response
ps2_init
ps2_sendbyte
ps2_sliced_command
pskb_expand_head
pstore_register
pstore_unregister
put_device
put_disk
put_iova_domain
put_pid
put_sg_io_hdr
put_unused_fd
put_vaddr_frames
pwm_apply_state
pwm_get_chip_data
pwm_set_chip_data
pwmchip_add
pwmchip_remove
qcom_smem_state_get
qcom_smem_state_register
qcom_smem_state_unregister
qcom_smem_state_update_bits
qdisc_reset
queue_delayed_work_on
queue_work_on
radix_tree_delete
radix_tree_insert
radix_tree_iter_delete
radix_tree_iter_resume
radix_tree_lookup
radix_tree_maybe_preload
radix_tree_next_chunk
radix_tree_tagged
rational_best_approximation
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
rb_erase
rb_first
rb_first_postorder
rb_insert_color
rb_last
rb_next
rb_next_postorder
rb_prev
rb_replace_node
rcu_barrier
rcu_barrier_tasks
rcu_barrier_tasks_trace
rcu_bind_current_to_nocb
rcu_cpu_stall_suppress
rcu_cpu_stall_suppress_at_boot
rcu_expedite_gp
rcu_force_quiescent_state
rcu_fwd_progress_check
rcu_get_gp_kthreads_prio
rcu_get_gp_seq
rcu_gp_is_expedited
rcu_gp_is_normal
rcu_gp_set_torture_wait
rcu_inkernel_boot_has_ended
rcu_is_watching
rcu_jiffies_till_stall_check
rcu_read_unlock_trace_special
rcu_unexpedite_gp
rcutorture_get_gp_data
rcuwait_wake_up
rdev_get_drvdata
rdev_get_id
reboot_mode
reciprocal_value
refcount_dec_and_lock
refcount_dec_and_mutex_lock
refcount_dec_not_one
refcount_warn_saturate
refresh_frequency_limits
regcache_cache_bypass
regcache_cache_only
regcache_drop_region
regcache_mark_dirty
regcache_sync
regcache_sync_region
register_blkdev
register_chrdev_region
register_console
register_die_notifier
register_filesystem
register_ftrace_export
register_inet6addr_notifier
register_inetaddr_notifier
register_kernel_break_hook
register_kprobe
register_kretprobe
register_memory_notifier
register_module_notifier
register_net_sysctl
register_netdev
register_netdevice
register_netdevice_notifier
register_netevent_notifier
register_oom_notifier
register_pernet_device
register_pernet_subsys
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
register_sysctl
register_sysctl_table
register_virtio_device
register_virtio_driver
register_vmap_purge_notifier
regmap_add_irq_chip
regmap_async_complete
regmap_bulk_read
regmap_bulk_write
regmap_check_range_table
regmap_del_irq_chip
regmap_exit
regmap_field_read
regmap_field_update_bits_base
regmap_get_device
regmap_irq_get_domain
regmap_irq_get_virq
regmap_mmio_detach_clk
regmap_multi_reg_write
regmap_multi_reg_write_bypassed
regmap_raw_read
regmap_raw_write
regmap_raw_write_async
regmap_read
regmap_register_patch
regmap_update_bits_base
regmap_write
regulator_allow_bypass
regulator_bulk_disable
regulator_bulk_enable
regulator_bulk_get
regulator_count_voltages
regulator_disable
regulator_disable_deferred
regulator_disable_regmap
regulator_enable
regulator_enable_regmap
regulator_force_disable
regulator_get
regulator_get_current_limit
regulator_get_drvdata
regulator_get_mode
regulator_get_optional
regulator_get_voltage
regulator_get_voltage_rdev
regulator_get_voltage_sel_regmap
regulator_is_enabled
regulator_is_enabled_regmap
regulator_is_supported_voltage
regulator_list_voltage_linear
regulator_list_voltage_linear_range
regulator_list_voltage_table
regulator_map_voltage_ascend
regulator_map_voltage_linear
regulator_notifier_call_chain
regulator_put
regulator_register
regulator_register_notifier
regulator_set_current_limit
regulator_set_load
regulator_set_mode
regulator_set_voltage
regulator_set_voltage_sel_regmap
regulator_unregister
regulator_unregister_notifier
release_firmware
release_pages
release_sock
remap_pfn_range
remap_vmalloc_range
remove_cpu
remove_memory_subsection
remove_proc_entry
remove_wait_queue
report_iommu_fault
request_any_context_irq
request_firmware
request_firmware_direct
request_firmware_into_buf
request_firmware_nowait
request_threaded_irq
resched_curr
reservation_ww_class
reset_control_assert
reset_control_deassert
reset_control_put
reset_control_reset
resume_cpus
return_address
revalidate_disk_size
rfkill_alloc
rfkill_destroy
rfkill_find_type
rfkill_init_sw_state
rfkill_register
rfkill_set_sw_state
rfkill_unregister
rhashtable_destroy
rhashtable_init
rhashtable_insert_slow
rht_bucket_nested
rht_bucket_nested_insert
rndis_deregister
rndis_free_response
rndis_get_next_response
rndis_msg_parser
rndis_register
rndis_set_host_mac
rndis_set_param_dev
rndis_set_param_medium
rndis_set_param_vendor
rndis_signal_connect
rndis_uninit
root_task_group
round_jiffies_relative
round_jiffies_up
rpmsg_get_signals
rpmsg_poll
rpmsg_register_device
rpmsg_send
rpmsg_set_signals
rpmsg_trysend
rpmsg_unregister_device
rproc_add
rproc_add_subdev
rproc_alloc
rproc_boot
rproc_coredump_add_custom_segment
rproc_coredump_add_segment
rproc_coredump_set_elf_info
rproc_coredump_using_sections
rproc_del
rproc_elf_get_boot_addr
rproc_free
rproc_get_by_child
rproc_get_by_phandle
rproc_put
rproc_remove_subdev
rproc_report_crash
rproc_shutdown
rps_needed
rt_mutex_lock
rt_mutex_trylock
rt_mutex_unlock
rtc_class_close
rtc_class_open
rtc_read_time
rtc_set_time
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
rtc_valid_tm
rtc_year_days
rtnl_is_locked
rtnl_link_register
rtnl_link_unregister
rtnl_lock
rtnl_register_module
rtnl_trylock
rtnl_unicast
rtnl_unlock
rtnl_unregister
runqueues
sb800_prefetch
sbitmap_queue_min_shallow_depth
sched_clock
sched_feat_keys
sched_feat_names
sched_set_fifo
sched_set_fifo_low
sched_set_normal
sched_setattr
sched_setattr_nocheck
sched_setscheduler
sched_setscheduler_nocheck
sched_show_task
sched_trace_cfs_rq_avg
sched_trace_cfs_rq_cpu
sched_trace_cfs_rq_path
sched_trace_rd_span
sched_trace_rq_avg_dl
sched_trace_rq_avg_irq
sched_trace_rq_avg_rt
sched_trace_rq_cpu
sched_uclamp_used
schedule
schedule_hrtimeout
schedule_timeout
schedule_timeout_interruptible
schedule_timeout_uninterruptible
scnprintf
scsi_autopm_get_device
scsi_autopm_put_device
scsi_block_requests
scsi_block_when_processing_errors
scsi_command_size_tbl
scsi_compat_ioctl
scsi_device_get
scsi_device_put
scsi_device_quiesce
scsi_eh_ready_devs
scsi_ioctl
scsi_ioctl_block_when_processing_errors
scsi_normalize_sense
scsi_print_sense_hdr
scsi_register_interface
scsi_remove_device
scsi_unblock_requests
sdev_prefix_printk
sdhci_add_host
sdhci_cleanup_host
sdhci_cqe_disable
sdhci_cqe_enable
sdhci_cqe_irq
sdhci_enable_clk
sdhci_get_property
sdhci_pltfm_free
sdhci_pltfm_init
sdhci_remove_host
sdhci_reset
sdhci_set_bus_width
sdhci_set_power_noreg
sdhci_setup_host
sdio_claim_host
sdio_disable_func
sdio_enable_func
sdio_f0_readb
sdio_f0_writeb
sdio_get_host_pm_caps
sdio_memcpy_fromio
sdio_memcpy_toio
sdio_readsb
sdio_register_driver
sdio_release_host
sdio_set_block_size
sdio_set_host_pm_flags
sdio_signal_irq
sdio_unregister_driver
sdio_writesb
securityfs_create_dir
securityfs_create_file
securityfs_remove
seq_buf_printf
seq_file_path
seq_hex_dump
seq_list_next
seq_list_start
seq_lseek
seq_open
seq_printf
seq_putc
seq_puts
seq_read
seq_release
seq_release_private
seq_vprintf
seq_write
serdev_device_close
serdev_device_open
serdev_device_set_baudrate
serdev_device_set_flow_control
serdev_device_wait_until_sent
serdev_device_write
serdev_device_write_wakeup
serio_close
serio_interrupt
serio_open
serio_reconnect
serio_rescan
serio_unregister_child_port
serio_unregister_driver
serio_unregister_port
set_blocksize
set_capacity_revalidate_and_notify
set_cpus_allowed_ptr
set_disk_ro
set_freezable
set_normalized_timespec64
set_page_dirty
set_page_dirty_lock
set_task_cpu
set_user_nice
sg_alloc_table
sg_alloc_table_from_pages
sg_free_table
sg_init_one
sg_init_table
sg_miter_next
sg_miter_start
sg_miter_stop
sg_nents_for_len
sg_next
sg_pcopy_from_buffer
sg_pcopy_to_buffer
sg_scsi_ioctl
sg_zero_buffer
sgl_alloc
sgl_free
shmem_truncate_range
show_rcu_gp_kthreads
show_regs
si_mem_available
si_meminfo
si_swapinfo
sigprocmask
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_dir_inode_operations
simple_dir_operations
simple_open
simple_read_from_buffer
simple_statfs
simple_strtol
simple_strtoll
simple_strtoul
simple_strtoull
simple_write_to_buffer
single_open
single_open_size
single_release
sk_alloc
sk_free
skb_add_rx_frag
skb_append_pagefrags
skb_checksum
skb_clone
skb_coalesce_rx_frag
skb_copy
skb_copy_bits
skb_copy_datagram_iter
skb_copy_expand
skb_copy_ubufs
skb_dequeue
skb_dequeue_tail
skb_ensure_writable
skb_free_datagram
skb_page_frag_refill
skb_partial_csum_set
skb_pull
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_realloc_headroom
skb_recv_datagram
skb_set_owner_w
skb_store_bits
skb_to_sgvec
skb_trim
skb_tstamp_tx
skb_unlink
skip_spaces
smp_call_function
smp_call_function_any
smp_call_function_many
smp_call_function_single
smp_call_on_cpu
smpboot_register_percpu_thread
smpboot_unregister_percpu_thread
snd_card_disconnect
snd_card_free
snd_card_new
snd_card_register
snd_card_rw_proc_new
snd_component_add
snd_compr_stop_error
snd_ctl_add
snd_ctl_add_vmaster_hook
snd_ctl_apply_vmaster_followers
snd_ctl_boolean_mono_info
snd_ctl_boolean_stereo_info
snd_ctl_enum_info
snd_ctl_find_id
snd_ctl_make_virtual_master
snd_ctl_new1
snd_ctl_notify
snd_ctl_remove
snd_ctl_remove_id
snd_ctl_sync_vmaster
snd_device_disconnect
snd_device_free
snd_device_new
snd_dma_alloc_pages
snd_dma_free_pages
snd_dmaengine_pcm_prepare_slave_config
snd_hwdep_new
snd_info_create_card_entry
snd_info_create_module_entry
snd_info_free_entry
snd_info_register
snd_interval_refine
snd_jack_new
snd_jack_report
snd_jack_set_key
snd_pci_quirk_lookup
snd_pcm_add_chmap_ctls
snd_pcm_alt_chmaps
snd_pcm_create_iec958_consumer_hw_params
snd_pcm_format_physical_width
snd_pcm_format_width
snd_pcm_hw_constraint_eld
snd_pcm_hw_constraint_integer
snd_pcm_hw_constraint_list
snd_pcm_hw_constraint_minmax
snd_pcm_hw_constraint_msbits
snd_pcm_hw_constraint_step
snd_pcm_hw_limit_rates
snd_pcm_hw_rule_add
snd_pcm_lib_default_mmap
snd_pcm_lib_free_pages
snd_pcm_lib_ioctl
snd_pcm_lib_malloc_pages
snd_pcm_lib_preallocate_free_for_all
snd_pcm_lib_preallocate_pages
snd_pcm_new
snd_pcm_period_elapsed
snd_pcm_rate_range_to_bits
snd_pcm_set_managed_buffer_all
snd_pcm_set_ops
snd_pcm_set_sync
snd_pcm_std_chmaps
snd_pcm_stream_lock
snd_pcm_stream_unlock
snd_pcm_stream_unlock_irqrestore
snd_soc_add_card_controls
snd_soc_add_component_controls
snd_soc_add_dai_controls
snd_soc_bytes_info_ext
snd_soc_bytes_tlv_callback
snd_soc_card_get_kcontrol
snd_soc_card_jack_new
snd_soc_component_async_complete
snd_soc_component_disable_pin
snd_soc_component_exit_regmap
snd_soc_component_force_enable_pin
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_set_jack
snd_soc_component_set_pll
snd_soc_component_set_sysclk
snd_soc_component_update_bits
snd_soc_component_update_bits_async
snd_soc_component_write
snd_soc_dai_get_channel_map
snd_soc_dai_link_set_capabilities
snd_soc_dai_set_bclk_ratio
snd_soc_dai_set_channel_map
snd_soc_dai_set_fmt
snd_soc_dai_set_pll
snd_soc_dai_set_sysclk
snd_soc_dai_set_tdm_slot
snd_soc_dapm_add_routes
snd_soc_dapm_disable_pin
snd_soc_dapm_disable_pin_unlocked
snd_soc_dapm_enable_pin
snd_soc_dapm_force_enable_pin
snd_soc_dapm_force_enable_pin_unlocked
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_pin_status
snd_soc_dapm_get_pin_switch
snd_soc_dapm_get_volsw
snd_soc_dapm_ignore_suspend
snd_soc_dapm_info_pin_switch
snd_soc_dapm_kcontrol_dapm
snd_soc_dapm_kcontrol_widget
snd_soc_dapm_mixer_update_power
snd_soc_dapm_mux_update_power
snd_soc_dapm_new_control
snd_soc_dapm_new_controls
snd_soc_dapm_new_widgets
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_pin_switch
snd_soc_dapm_put_volsw
snd_soc_dapm_sync
snd_soc_dapm_sync_unlocked
snd_soc_dapm_weak_routes
snd_soc_find_dai
snd_soc_get_enum_double
snd_soc_get_pcm_runtime
snd_soc_get_volsw
snd_soc_get_volsw_range
snd_soc_get_volsw_sx
snd_soc_get_xr_sx
snd_soc_info_enum_double
snd_soc_info_multi_ext
snd_soc_info_volsw
snd_soc_info_volsw_range
snd_soc_info_volsw_sx
snd_soc_info_xr_sx
snd_soc_jack_add_gpios
snd_soc_jack_report
snd_soc_lookup_component
snd_soc_new_compress
snd_soc_of_get_dai_link_codecs
snd_soc_of_get_dai_name
snd_soc_of_parse_audio_routing
snd_soc_of_parse_audio_simple_widgets
snd_soc_of_parse_aux_devs
snd_soc_of_parse_card_name
snd_soc_of_parse_daifmt
snd_soc_of_parse_node_prefix
snd_soc_of_parse_tdm_slot
snd_soc_of_put_dai_link_codecs
snd_soc_params_to_bclk
snd_soc_params_to_frame_size
snd_soc_pm_ops
snd_soc_put_enum_double
snd_soc_put_volsw
snd_soc_put_volsw_range
snd_soc_put_volsw_sx
snd_soc_put_xr_sx
snd_soc_register_card
snd_soc_register_component
snd_soc_rtdcom_lookup
snd_soc_runtime_calc_hw
snd_soc_runtime_set_dai_fmt
snd_soc_set_runtime_hwparams
snd_soc_tplg_component_load
snd_soc_tplg_component_remove
snd_soc_tplg_widget_bind_event
snd_soc_unregister_card
snd_soc_unregister_component
snd_usb_enable_audio_stream
snd_vendor_set_ops
snprintf
soc_device_register
soc_device_unregister
sock_alloc_send_skb
sock_create_kern
sock_gettstamp
sock_i_uid
sock_init_data
sock_no_accept
sock_no_listen
sock_no_mmap
sock_no_sendpage
sock_no_shutdown
sock_no_socketpair
sock_queue_rcv_skb
sock_register
sock_release
sock_setsockopt
sock_unregister
sock_wfree
softnet_data
sort
spi_bus_lock
spi_bus_type
spi_bus_unlock
spi_controller_resume
spi_controller_suspend
spi_delay_exec
spi_finalize_current_message
spi_finalize_current_transfer
spi_get_next_queued_message
spi_register_controller
spi_setup
spi_sync
spi_sync_locked
spi_unregister_controller
split_page
spmi_controller_add
spmi_controller_alloc
spmi_controller_remove
spmi_ext_register_read
spmi_ext_register_readl
spmi_ext_register_write
spmi_ext_register_writel
spmi_register_read
spmi_register_write
spmi_register_zero_write
sprint_symbol
sprintf
srcu_barrier
srcu_batches_completed
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
srcu_torture_stats_print
srcutorture_get_gp_data
sscanf
stack_trace_print
stack_trace_save
stack_trace_save_tsk
static_key_disable
static_key_disable_cpuslocked
static_key_slow_dec
static_key_slow_inc
stop_machine
stop_one_cpu_nowait
stpcpy
strcasecmp
strcat
strchr
strchrnul
strcmp
strcpy
strcspn
stream_open
strim
string_get_size
strlcat
strlcpy
strlen
strncasecmp
strncat
strnchr
strncmp
strncpy
strncpy_from_user
strndup_user
strnlen
strnstr
strpbrk
strrchr
strreplace
strscpy
strsep
strspn
strstr
submit_bh
submit_bio
submit_bio_wait
subsys_system_register
swiotlb_nr_tbl
sync_blockdev
sync_file_create
sync_file_get_fence
synchronize_irq
synchronize_net
synchronize_rcu
synchronize_rcu_expedited
synchronize_rcu_tasks
synchronize_rcu_tasks_trace
synchronize_srcu
synchronize_srcu_expedited
sys_tz
syscon_node_to_regmap
syscon_regmap_lookup_by_phandle
sysctl_sched_features
sysctl_sched_latency
sysctl_vals
sysfs_add_file_to_group
sysfs_add_link_to_group
sysfs_create_bin_file
sysfs_create_file_ns
sysfs_create_files
sysfs_create_group
sysfs_create_groups
sysfs_create_link
sysfs_emit
sysfs_emit_at
sysfs_notify
sysfs_remove_bin_file
sysfs_remove_file_from_group
sysfs_remove_file_ns
sysfs_remove_files
sysfs_remove_group
sysfs_remove_groups
sysfs_remove_link
sysfs_remove_link_from_group
sysfs_streq
sysfs_update_group
sysrq_mask
system_freezable_wq
system_freezing_cnt
system_highpri_wq
system_long_wq
system_power_efficient_wq
system_state
system_unbound_wq
system_wq
task_active_pid_ns
task_groups
task_may_not_preempt
task_rq_lock
tasklet_init
tasklet_kill
tasklet_setup
tasklist_lock
tcpci_get_tcpm_port
tcpci_irq
tcpci_register_port
tcpci_unregister_port
tcpm_cc_change
tcpm_is_toggling
tcpm_pd_hard_reset
tcpm_pd_receive
tcpm_pd_transmit_complete
tcpm_sink_frs
tcpm_sourcing_vbus
tcpm_update_sink_capabilities
tcpm_vbus_change
thermal_cdev_update
thermal_cooling_device_register
thermal_cooling_device_unregister
thermal_of_cooling_device_register
thermal_pressure
thermal_zone_device_disable
thermal_zone_device_enable
thermal_zone_device_is_enabled
thermal_zone_device_register
thermal_zone_device_unregister
thermal_zone_device_update
thermal_zone_get_slope
thermal_zone_get_temp
thermal_zone_get_zone_by_name
thermal_zone_of_sensor_register
thermal_zone_of_sensor_unregister
thread_group_cputime_adjusted
tick_nohz_get_idle_calls_cpu
tick_nohz_get_sleep_length
time64_to_tm
timecounter_init
timecounter_read
timer_unstable_counter_workaround
topology_set_thermal_pressure
total_swapcache_pages
trace_clock_local
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
trace_output_call
trace_print_array_seq
trace_print_flags_seq
trace_print_hex_seq
trace_print_symbols_seq
trace_raw_output_prep
trace_seq_printf
trace_seq_putc
tracepoint_probe_register
tracepoint_probe_register_prio
tracepoint_probe_unregister
tracing_off
try_module_get
try_to_del_timer_sync
try_wait_for_completion
ttm_bo_bulk_move_lru_tail
ttm_bo_device_init
ttm_bo_device_release
ttm_bo_dma_acc_size
ttm_bo_evict_mm
ttm_bo_eviction_valuable
ttm_bo_glob
ttm_bo_init_reserved
ttm_bo_kmap
ttm_bo_kunmap
ttm_bo_lock_delayed_workqueue
ttm_bo_mem_space
ttm_bo_mmap
ttm_bo_mmap_obj
ttm_bo_move_accel_cleanup
ttm_bo_move_memcpy
ttm_bo_move_to_lru_tail
ttm_bo_move_ttm
ttm_bo_put
ttm_bo_unlock_delayed_workqueue
ttm_bo_validate
ttm_dma_page_alloc_debugfs
ttm_dma_populate
ttm_dma_tt_fini
ttm_dma_unpopulate
ttm_eu_backoff_reservation
ttm_eu_fence_buffer_objects
ttm_eu_reserve_buffers
ttm_page_alloc_debugfs
ttm_populate_and_map_pages
ttm_range_man_fini
ttm_range_man_init
ttm_resource_free
ttm_resource_manager_force_list_clean
ttm_resource_manager_init
ttm_sg_tt_init
ttm_tt_destroy_common
ttm_tt_populate
ttm_tt_set_placement_caching
ttm_unmap_and_unpopulate_pages
tty_flip_buffer_push
tty_insert_flip_string_fixed_flag
tty_kref_put
tty_port_tty_get
tty_termios_baud_rate
tty_termios_encode_baud_rate
typec_altmode_get_partner
typec_altmode_update_active
typec_get_drvdata
typec_partner_register_altmode
typec_port_register_altmode
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_pwr_opmode
typec_set_pwr_role
typec_switch_get_drvdata
typec_switch_register
typec_switch_unregister
typec_unregister_altmode
typec_unregister_partner
typec_unregister_port
uart_add_one_port
uart_console_device
uart_console_write
uart_get_baud_rate
uart_insert_char
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
uclamp_eff_value
ucsi_connector_change
ucsi_create
ucsi_destroy
ucsi_get_drvdata
ucsi_register
ucsi_set_drvdata
ucsi_unregister
udp4_hwcsum
ufshcd_auto_hibern8_update
ufshcd_bkops_ctrl
ufshcd_dme_get_attr
ufshcd_dme_set_attr
ufshcd_dump_regs
ufshcd_fixup_dev_quirks
ufshcd_get_local_unipro_ver
ufshcd_get_pwr_dev_param
ufshcd_hold
ufshcd_map_desc_id_to_length
ufshcd_pltfrm_init
ufshcd_pltfrm_resume
ufshcd_pltfrm_runtime_idle
ufshcd_pltfrm_runtime_resume
ufshcd_pltfrm_runtime_suspend
ufshcd_pltfrm_shutdown
ufshcd_pltfrm_suspend
ufshcd_query_attr
ufshcd_query_attr_retry
ufshcd_query_descriptor_retry
ufshcd_query_flag
ufshcd_query_flag_retry
ufshcd_read_desc_param
ufshcd_release
ufshcd_remove
ufshcd_shutdown
ufshcd_system_resume
ufshcd_system_suspend
ufshcd_uic_hibern8_enter
ufshcd_uic_hibern8_exit
uio_unregister_device
unlock_page
unmap_mapping_range
unpin_user_page
unregister_blkdev
unregister_chrdev_region
unregister_console
unregister_die_notifier
unregister_filesystem
unregister_ftrace_export
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_kprobe
unregister_kretprobe
unregister_module_notifier
unregister_netdev
unregister_netdevice_many
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_netevent_notifier
unregister_oom_notifier
unregister_pernet_device
unregister_pernet_subsys
unregister_pm_notifier
unregister_reboot_notifier
unregister_restart_handler
unregister_rpmsg_driver
unregister_shrinker
unregister_syscore_ops
unregister_sysctl_table
unregister_virtio_device
unregister_virtio_driver
unregister_vmap_purge_notifier
up
up_read
up_write
update_devfreq
update_rq_clock
usb_add_function
usb_add_gadget
usb_add_gadget_udc
usb_add_hcd
usb_add_phy_dev
usb_alloc_coherent
usb_alloc_dev
usb_alloc_urb
usb_amd_dev_put
usb_amd_prefetch_quirk
usb_amd_pt_check_port
usb_amd_quirk_pll_check
usb_amd_quirk_pll_disable
usb_amd_quirk_pll_enable
usb_asmedia_modifyflowcontrol
usb_assign_descriptors
usb_autopm_get_interface
usb_autopm_put_interface
usb_bulk_msg
usb_calc_bus_time
usb_choose_configuration
usb_composite_setup_continue
usb_control_msg
usb_copy_descriptors
usb_create_hcd
usb_debug_root
usb_decode_ctrl
usb_del_gadget_udc
usb_deregister
usb_disable_xhci_ports
usb_disabled
usb_enable_autosuspend
usb_enable_intel_xhci_ports
usb_ep_alloc_request
usb_ep_autoconfig
usb_ep_clear_halt
usb_ep_dequeue
usb_ep_disable
usb_ep_enable
usb_ep_fifo_flush
usb_ep_fifo_status
usb_ep_free_request
usb_ep_queue
usb_ep_set_halt
usb_ep_set_maxpacket_limit
usb_find_common_endpoints
usb_free_all_descriptors
usb_free_coherent
usb_free_urb
usb_function_register
usb_function_unregister
usb_gadget_giveback_request
usb_gadget_map_request_by_dev
usb_gadget_probe_driver
usb_gadget_set_state
usb_gadget_udc_reset
usb_gadget_unmap_request_by_dev
usb_gadget_unregister_driver
usb_gadget_vbus_connect
usb_gadget_vbus_disconnect
usb_gadget_vbus_draw
usb_gadget_wakeup
usb_get_dr_mode
usb_get_gadget_udc_name
usb_get_maximum_speed
usb_get_urb
usb_gstrings_attach
usb_hc_died
usb_hcd_check_unlink_urb
usb_hcd_end_port_resume
usb_hcd_giveback_urb
usb_hcd_irq
usb_hcd_is_primary_hcd
usb_hcd_link_urb_to_ep
usb_hcd_map_urb_for_dma
usb_hcd_pci_pm_ops
usb_hcd_pci_probe
usb_hcd_pci_remove
usb_hcd_pci_shutdown
usb_hcd_platform_shutdown
usb_hcd_poll_rh_status
usb_hcd_resume_root_hub
usb_hcd_start_port_resume
usb_hcd_unlink_urb_from_ep
usb_hcds_loaded
usb_hub_clear_tt_buffer
usb_hub_find_child
usb_ifnum_to_if
usb_initialize_gadget
usb_interface_id
usb_kill_urb
usb_otg_state_string
usb_phy_set_charger_current
usb_poison_urb
usb_put_dev
usb_put_function_instance
usb_put_hcd
usb_register_driver
usb_register_notify
usb_remove_hcd
usb_remove_phy
usb_role_switch_find_by_fwnode
usb_role_switch_get
usb_role_switch_get_drvdata
usb_role_switch_put
usb_role_switch_register
usb_role_switch_set_role
usb_role_switch_unregister
usb_root_hub_lost_power
usb_set_device_state
usb_speed_string
usb_string_id
usb_submit_urb
usb_unregister_notify
usb_wakeup_notification
usbnet_change_mtu
usbnet_disconnect
usbnet_get_drvinfo
usbnet_get_endpoints
usbnet_get_link
usbnet_get_link_ksettings
usbnet_get_msglevel
usbnet_get_stats64
usbnet_link_change
usbnet_nway_reset
usbnet_open
usbnet_probe
usbnet_read_cmd
usbnet_read_cmd_nopm
usbnet_resume
usbnet_set_link_ksettings
usbnet_set_msglevel
usbnet_skb_return
usbnet_start_xmit
usbnet_stop
usbnet_suspend
usbnet_tx_timeout
usbnet_unlink_rx_urbs
usbnet_update_max_qlen
usbnet_write_cmd
usbnet_write_cmd_async
usbnet_write_cmd_nopm
usleep_range
uuid_gen
uuid_null
uuid_parse
v4l2_compat_ioctl32
v4l2_ctrl_find
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
v4l2_ctrl_log_status
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_new_std_menu
v4l2_device_register
v4l2_device_register_subdev
v4l2_device_set_name
v4l2_device_unregister
v4l2_device_unregister_subdev
v4l2_event_dequeue
v4l2_event_pending
v4l2_event_queue
v4l2_event_queue_fh
v4l2_event_subscribe
v4l2_event_unsubscribe
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_fh_open
v4l2_fh_release
v4l2_i2c_subdev_init
v4l2_m2m_buf_queue
v4l2_m2m_buf_remove
v4l2_m2m_ctx_init
v4l2_m2m_ctx_release
v4l2_m2m_dqbuf
v4l2_m2m_fop_mmap
v4l2_m2m_fop_poll
v4l2_m2m_get_curr_priv
v4l2_m2m_get_vq
v4l2_m2m_init
v4l2_m2m_ioctl_dqbuf
v4l2_m2m_ioctl_querybuf
v4l2_m2m_ioctl_reqbufs
v4l2_m2m_ioctl_streamoff
v4l2_m2m_ioctl_streamon
v4l2_m2m_job_finish
v4l2_m2m_mmap
v4l2_m2m_next_buf
v4l2_m2m_poll
v4l2_m2m_qbuf
v4l2_m2m_querybuf
v4l2_m2m_release
v4l2_m2m_reqbufs
v4l2_m2m_streamoff
v4l2_m2m_streamon
v4l2_m2m_try_schedule
v4l2_s_ctrl
v4l2_subdev_call_wrappers
v4l2_subdev_init
v4l_bound_align_image
vabits_actual
vb2_buffer_done
vb2_common_vm_ops
vb2_create_framevec
vb2_destroy_framevec
vb2_dqbuf
vb2_fop_mmap
vb2_fop_poll
vb2_fop_read
vb2_fop_release
vb2_ioctl_create_bufs
vb2_ioctl_dqbuf
vb2_ioctl_expbuf
vb2_ioctl_qbuf
vb2_ioctl_querybuf
vb2_ioctl_reqbufs
vb2_ioctl_streamoff
vb2_ioctl_streamon
vb2_mmap
vb2_ops_wait_finish
vb2_ops_wait_prepare
vb2_plane_cookie
vb2_plane_vaddr
vb2_poll
vb2_prepare_buf
vb2_qbuf
vb2_querybuf
vb2_queue_init
vb2_queue_release
vb2_reqbufs
vb2_streamoff
vb2_streamon
vb2_vmalloc_memops
vb2_wait_for_all_buffers
vchan_dma_desc_free_list
vchan_find_desc
vchan_init
vchan_tx_desc_free
vchan_tx_submit
vfree
vfs_fsync_range
video_devdata
video_device_alloc
video_device_release
video_device_release_empty
video_ioctl2
video_unregister_device
virtio_check_driver_offered_feature
virtio_config_changed
virtio_device_freeze
virtio_device_restore
virtio_max_dma_size
virtio_transport_connect
virtio_transport_deliver_tap_pkt
virtio_transport_destruct
virtio_transport_dgram_allow
virtio_transport_dgram_bind
virtio_transport_dgram_dequeue
virtio_transport_dgram_enqueue
virtio_transport_do_socket_init
virtio_transport_free_pkt
virtio_transport_notify_buffer_size
virtio_transport_notify_poll_in
virtio_transport_notify_poll_out
virtio_transport_notify_recv_init
virtio_transport_notify_recv_post_dequeue
virtio_transport_notify_recv_pre_block
virtio_transport_notify_recv_pre_dequeue
virtio_transport_notify_send_init
virtio_transport_notify_send_post_enqueue
virtio_transport_notify_send_pre_block
virtio_transport_notify_send_pre_enqueue
virtio_transport_recv_pkt
virtio_transport_release
virtio_transport_shutdown
virtio_transport_stream_allow
virtio_transport_stream_dequeue
virtio_transport_stream_enqueue
virtio_transport_stream_has_data
virtio_transport_stream_has_space
virtio_transport_stream_is_active
virtio_transport_stream_rcvhiwat
virtqueue_add_inbuf
virtqueue_add_inbuf_ctx
virtqueue_add_outbuf
virtqueue_add_sgs
virtqueue_detach_unused_buf
virtqueue_disable_cb
virtqueue_enable_cb
virtqueue_enable_cb_delayed
virtqueue_enable_cb_prepare
virtqueue_get_avail_addr
virtqueue_get_buf
virtqueue_get_buf_ctx
virtqueue_get_desc_addr
virtqueue_get_used_addr
virtqueue_get_vring_size
virtqueue_is_broken
virtqueue_kick
virtqueue_kick_prepare
virtqueue_notify
virtqueue_poll
vm_event_states
vm_get_page_prot
vm_insert_page
vm_iomap_memory
vm_map_pages
vm_map_ram
vm_mmap
vm_munmap
vm_node_stat
vm_unmap_ram
vm_zone_stat
vmalloc
vmalloc_nr_pages
vmalloc_to_page
vmalloc_to_pfn
vmalloc_user
vmap
vmemdup_user
vmf_insert_mixed
vmf_insert_pfn
vmf_insert_pfn_prot
vprintk
vring_create_virtqueue
vring_del_virtqueue
vring_interrupt
vring_new_virtqueue
vring_transport_features
vscnprintf
vsnprintf
vsock_core_register
vsock_core_unregister
vsock_for_each_connected_socket
vsprintf
vunmap
vzalloc
vzalloc_node
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_io_timeout
wait_for_completion_killable
wait_for_completion_killable_timeout
wait_for_completion_timeout
wait_woken
wake_up_if_idle
wake_up_process
wakeup_source_add
wakeup_source_create
wakeup_source_destroy
wakeup_source_register
wakeup_source_remove
wakeup_source_unregister
watchdog_init_timeout
watchdog_register_device
watchdog_set_restart_priority
watchdog_unregister_device
wireless_send_event
woken_wake_function
work_busy
ww_mutex_lock
ww_mutex_lock_interruptible
ww_mutex_unlock
xa_destroy
xa_erase
xa_find
xa_find_after
xa_load
xa_store
xdp_convert_zc_to_xdp_frame
xdp_do_flush
xdp_do_redirect
xdp_return_frame
xdp_return_frame_rx_napi
xdp_rxq_info_reg
xdp_rxq_info_reg_mem_model
xdp_rxq_info_unreg
xdp_warn
xfrm_state_lookup_byspi
xfrm_stateonly_find
xhci_add_endpoint
xhci_address_device
xhci_alloc_command
xhci_alloc_erst
xhci_check_trb_in_td_math
xhci_free_command
xhci_free_erst
xhci_gen_setup
xhci_get_endpoint_index
xhci_get_ep_ctx
xhci_get_slot_ctx
xhci_handle_event
xhci_init_driver
xhci_initialize_ring_info
xhci_link_segments
xhci_queue_stop_endpoint
xhci_resume
xhci_ring_alloc
xhci_ring_cmd_db
xhci_ring_free
xhci_run
xhci_segment_free
xhci_suspend
xhci_trb_virt_to_dma
xhci_update_erst_dequeue
xhci_vendor_get_ops
zone_watermark_ok
zone_watermark_ok_safe